首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
An inductively coupled plasma reactive ion etching of IrMn magnetic thin films patterned with Ti hard mask was studied in a CH3OH/Ar gas mix. As the CH3OH concentration increased, the etch rates of IrMn thin films and Ti hard mask decreased, while the etch profiles improved with high degree of anisotropy. The effects of coil rf power, dc-bias voltage to substrate and gas pressure on the etch characteristics were investigated. The etch rate increased and the etch profile improved with increasing coil rf power, dc-bias voltage and decreasing gas pressure. X-ray photoelectron spectroscopy revealed that the chemical reaction between IrMn films and CH3OH gas occurred, leading to the clean and good etch profile with high degree of anisotropy of 90°.  相似文献   

2.
Inductively coupled plasma reactive ion etching of titanium thin films patterned with a photoresist using Cl2/Ar gas was examined. The etch rates of the titanium thin films increased with increasing the Cl2 concentration but the etch profiles varied. In addition, the effects of the coil rf power, dc-bias voltage and gas pressure on the etch rate and etch profile were investigated. The etch rate increased with increasing coil rf power, dc-bias voltage and gas pressure. The degree of anisotropy in the etched titanium films improved with increasing coil rf power and dc-bias voltage and decreasing gas pressure. X-ray photoelectron spectroscopy revealed the formation of titanium compounds during etching, indicating that Ti films etching proceeds by a reactive ion etching mechanism.  相似文献   

3.
Etch characteristics of L10 FePt thin films masked with TiN films were investigated using an inductively coupled plasma (ICP) reactive ion etching in a CH3OH/Ar plasma. As the CH3OH gas was added to Ar, the etch rates of FePt thin films and TiN hard mask gradually decreased, and the etch profile of FePt films improved with high degree of anisotropy. With increasing ICP rf power and dc-bias voltage to substrate and decreasing gas pressure, the etch rate increased and the etch profile becomes vertical without any redepositions or etch residues. Based on the etch characteristics and surface analysis of the films by X-ray photoelectron spectroscopy, it can be concluded that the etch mechanism of FePt thin films in a CH3OH/Ar gas does not follow the reactive ion etch mechanism but the chemically assisted sputter etching mechanism, due to the chemical reaction of FePt film with CH3OH gas.  相似文献   

4.
Dry etching of indium zinc oxide (IZO) thin films was performed using inductively coupled plasma reactive ion etching in a C2F6/Ar gas. The etch characteristics of IZO films were investigated as a function of gas concentration, coil rf power, dc-bias voltage to substrate, and gas pressure. As the C2F6 concentration was increased, the etch rate of the IZO films decreased and the degree of anisotropy in the etch profile also decreased. The etch profile was improved with increasing coil rf power and dc-bias voltage, and decreasing gas pressure. An X-ray photoelectron spectroscopy analysis confirmed the formation of InF3 and ZnF2 compounds on the etched surface due to the chemical reaction of IZO films with fluorine radicals. In addition, the film surfaces etched at different conditions were examined by atomic force microscopy. These results demonstrated that the etch mechanism of IZO thin films followed sputter etching with the assistance of chemical reaction.  相似文献   

5.
Do Young Lee 《Thin solid films》2009,517(14):4047-4051
Inductively coupled plasma reactive ion etching of indium zinc oxide (IZO) thin films masked with a photoresist was performed using a Cl2/Ar gas. The etch rate of the IZO thin films increased as Cl2 gas was added to Ar gas, reaching a maximum at 60% Cl2 and decreasing thereafter. The degree of anisotropy in the etch profile improved with increasing coil rf power and dc-bias voltage. Changes in pressure had little effect on the etch profile. X-ray photoelectron spectroscopy confirmed the formation of InCl3 and ZnCl2 on the etched surface. The surface morphology of the films etched at high Cl2 concentrations was smoother than that of the films etched at low Cl2 concentrations. These results suggest that the dry etching of IZO thin films in a Cl2/Ar gas occurs according to a reactive ion etching mechanism involving ion sputtering and a surface reaction.  相似文献   

6.
Su Ryun Min 《Thin solid films》2008,516(11):3521-3529
The etching characteristics of ZnO thin films were examined in an HBr/Ar gas mix using an inductively coupled plasma reactive ion etching system. The etch rate and etch profile were systematically investigated as a function of gas concentration. In addition, the effects of etch parameters such as coil rf power, dc-bias voltage, and gas pressure were studied. As the HBr concentration increased, the etch rate of the ZnO films gradually decreased while the etch profile was improved. Surface analyses including X-ray photoelectron spectroscopy and atomic force microscopy were employed to elucidate the etch mechanism of ZnO in an HBr/Ar chemistry.  相似文献   

7.
The etch characteristics of CoFeB magnetic films and magnetic-tunnel-junction (MTJ) stacks masked with Ti films were investigated using an inductively coupled plasma reactive ion etching in a HBr/Ar gas mix. The etch rate, etch selectivity, and etch profile of the CoFeB films were obtained as a function of the HBr concentration. As the HBr gas was added to Ar, the etch rate of the CoFeB films, and the etch selectivity to the Ti hard mask, gradually decreased, but the etch profile of the CoFeB films was improved. The effects of the HBr concentration and etch parameters on the etch profile of the MTJ stacks with a nanometer-sized 70 x 100 nm2 pattern were explored. At 10% HBr concentration, low ICP RF power, and low DC-bias voltage, better etch profiles of the MTJ stacks were obtained without redeposition. It was confirmed that the protective layer containing hydrogen, and the surface bombardment of the Ar ions, played a key role in obtaining a steep sidewall angle in the etch profile. Fine-pattern transfer of the MTJ stacks with a high degree of anisotropy was achieved using a HBr/Ar gas chemistry.  相似文献   

8.
Etch characteristics of CoFeB magnetic thin films patterned with TiN hard masks were investigated using inductively coupled plasma reactive ion etching in H2O/Ar and H2O/CH4 gas mixes. As the H2O concentration in the H2O/Ar gas increased, the etch rates of CoFeB and TiN films decreased simultaneously, while the etch selectivity increased and etch profiles improved slightly without any redeposition. The addition of CH4 to the H2O gas resulted in an increase in etch selectivity and a higher degree of anisotropy in the etch profile. X-ray photoelectron spectroscopy was performed to understand the etch mechanism in H2O/CH4 plasma. A good pattern transfer of CoFeB films masked with TiN films was successfully achieved using the H2O/CH4 gas mix.  相似文献   

9.
Etch characteristics of MgO thin films were investigated using an inductively coupled plasma reactive ion etcher in a HBr/Ar plasma. As the concentration of HBr gas increased, the etch rate of MgO thin films gradually decreased, but the etch rate of Ti hard mask showed initial decrease and then increased with increasing HBr concentration. The etch profile of MgO films was improved with increasing HBr concentration and a high degree of anisotropy in etch profile was achieved at 30% HBr/Ar gas. Based on the etch characteristics and surface analysis by X-ray photoelectron spectroscopy, it can be concluded that the etch mechanism of MgO thin films in a HBr/Ar gas does not follow the reactive ion etch mechanism but the sputter etching mechanism with the assistance of chemical reactions on the film surfaces.  相似文献   

10.
Inductively coupled plasma reactive ion etching of CoZrNb magnetic thin films was studied using a TiN hard mask in a Cl2/O2/Ar gas mix. The etch rates of CoZrNb films and TiN hard mask gradually decreased with increasing Cl2 or O2 gas concentrations. When O2 gas was added in the Cl2/Ar gas mix, the etch rate of TiN hard mask was suppressed effectively so that the etch selectivity of CoZrNb film to TiN hard mask was enhanced. The addition of O2 into the gas mix also led to the anisotropic etching of the CoZrNb films and it was confirmed by Auger electron spectroscopy that there were no redeposited materials on the sidewall of the etched films. Highly anisotropic etching of CoZrNb films was achieved at room temperature under the optimized etching conditions.  相似文献   

11.
H.M. Naguib  R.A. Bond  H.J. Poley 《Vacuum》1983,33(5):285-290
We have investigated the plasma etching characteristics of chromium thin films in an rf planar (parallel plate) reactor. The experimental work was performed using a commercial reactor operating at 13.56 MHz with power variable up to 500 W. The etch rate of the Cr films deposited on glass substrates by e-beam evaporation was measured as a function of the concentration of O2 in a CCl4/O2 gas mixture, the total flow rate of input gases and the rf power density. Using a total gas flow of 15 sccm and an input power density of 0.4 W cm?2, the maximum etch rate was obtained in CCl4 plasma containing 40% O2. It was found that doubling the number of the substrates in the reactor decreased the etch rate by 20%. Also, the etch rate at the back of the reactor was twice that at the front. Methods to alliviate non-uniformity and loading effects are discussed and the mechanism of plasma etching of Cr is examined through the effect of various processing parameters on the etching characteristics.  相似文献   

12.
In this study, we monitored the HfAlO3 etch rate and selectivity to SiO2 as a function of the etch parameters (gas mixing ratio, RF power, DC-bias voltage, and process pressure). A maximum etch rate of 52.6 nm/min was achieved in the 30% BCl3/(BCl3 + Ar) plasma. The etch selectivity of HfAlO3 to SiO2 reached 1.4. As the RF power and the DC-bias voltage increased, the etch rate of the HfAlO3 thin film increased. As the process pressure decreased, the etch rate of the HfAlO3 thin films increased. The chemical state of the etched surfaces was investigated by X-ray Photoelectron Spectroscopy (XPS). According to the results, the etching of HfAlO3 thin films follows the ion-assisted chemical etching mechanism.  相似文献   

13.
The reactive ion etching (RIE) technique was used to etch polycrystalline diamond thin films. In this study we investigate the influence of process parameters (total pressure, rf power, gas composition) of standard capacitively coupled plasma RIE system on the etching rate of diamond films. The surface morphology of etched diamond films was characterized by Scanning Electron Microscopy and the chemical composition of the etched film part was investigated by Raman Spectroscopy.We found that the gas composition had a crucial effect on the diamond film morphology. The use of CF4 gas resulted in flatter surfaces and lateral-like etching, while the use of pure O2 gas resulted in needle-like structures. Addition of argon to the reactant precursors increased the ion bombardment, which in turn increased the formation of non-diamond phases. Next, increasing the rf power from 100 to 500 W increased the etching rate from 5.4 to 8.6 μm/h. In contrast to this observation, the rise of process pressure from 80 to 150 mTorr lowered the etching rate from 5.6 down to 3.6 μm/h.  相似文献   

14.
In this work, we investigated the etching characteristics of TiO2 thin films and the selectivity of TiO2 to SiO2 in a BCl3/Ar inductively coupled plasma (ICP) system. The maximum etch rate of 84.68 nm/min was obtained for TiO2 thin films at a gas mixture ratio of BCl3/Ar (25:75%). In addition, etch rates were measured as a function of etching parameters, such as the RF power, DC-bias voltage and process pressure. Using the X-ray photoelectron spectroscopy analysis the accumulation of chemical reaction on the etched surface was investigated. Based on these data, the ion-assisted physical sputtering was proposed as the main etch mechanism for the BCl3-containing plasmas.  相似文献   

15.
In this paper, a wet chemical etching technique to selectively etch tantalum thin film in sodium hydroxide and potassium hydroxide based solutions was developed. Tantalum thin films were deposited by a DC-magnetron sputtering technique on silica and yttria-stabilized zirconia (YSZ) substrates. After deposition, the films were etched in hot NaOH/ H2O2 and KOH/H2O2 based solutions with Au/Cr film as a hard mask. The etch rate was studied as a function of temperature and concentration of the etchants.  相似文献   

16.
In this research, we investigated the TaN etch rate and selectivity with under layer (HfO2) and mask material (SiO2) in inductively coupled CH4/Ar plasma. As the CH4 content increased from 0% to 80% in CH4/Ar plasma, the TaN etch rate was increased from 11.9 to 22.8 nm/min. From optical emission spectroscopy (OES), the intensities for CH [431 nm] and H [434 nm] were increased with the increasing CH4 content from 0% to 100% in CH4/Ar plasma. The results of x-ray photoelectron spectroscopy (XPS) and Auger electron spectroscopy (AES) showed no accumulation of etch by-products from the etched surface of TaN thin film. As a result of OES, AES and XPS analysis, we observed the etch by-products from the surfaces, such as Ta-N-CH and N-CH bonds. Based on the experimental results, the TaN etch was dominated by the chemical etching with the assistance of Ar sputtering in reactive ion etching mechanism.  相似文献   

17.
M.H. Shin  S.H. Jung  N.-E. Lee 《Thin solid films》2007,515(12):4950-4954
Effect of doping elements on the etching characteristics of doped-ZnO (Ag, Li, and Al) thin films, etched with a positive photoresist (PR) mask, and an etch process window for infinite etch selectivity were investigated by varying the CH4 flow ratio and self-bias voltage, Vdc, in inductively coupled CH4/H2/Ar plasmas. Increased doping of ZnO films decreased the etch rates significantly presumably due to lower volatility of reaction by-products of doped Li, Ag, and Al in CH4/H2/Ar plasmas. The etch rate of AZO (Al-doped ZnO) was most significantly decreased as the doping concentration is increased from 4 to 10 wt%. It was found that process window for infinite etch selectivity of the doped ZnO to the PR is closely related to a balance between deposition and removal processes of a-C:H (amorphous hydrogenated carbon) layer on the doped-ZnO surface. Measurements of optical emission of the radical species in the plasma and surface binding states by optical emission spectroscopy (OES) and X-ray photoelectron spectroscopy (XPS), respectively, implied that the chemical reaction of CH radicals with Zn atoms in doped-ZnO play an important role in determining the doped-ZnO etch rate together with an ion-enhanced removal mechanism of a-C:H layer as well as Zn(CHx)y etch by-products.  相似文献   

18.
Etching characteristics and the mechanism of HfO2 thin films in Cl2/Ar inductively-coupled plasma were investigated. The etch rate of HfO2 was measured as a function of the Cl2/Ar mixing ratio in the range of 0 to 100% Ar at a fixed gas pressure (6 mTorr), input power (700 W), and bias power (300 W). We found that an increase in the Ar mixing ratio resulted in a monotonic decrease in the HfO2 etch rate in the range of 10.3 to 0.7 nm/min while the etch rate of the photoresist increased from 152.1 to 375.0 nm/min for 0 to 100% Ar. To examine the etching mechanism of HfO2 films, we combined plasma diagnostics using Langmuir probes and quadrupole mass spectrometry with global (zero-dimensional) plasma modeling. We found that the HfO2 etching process was not controlled by ion-surface interaction kinetics and formally corresponds to the reaction rate-limited etch regime.  相似文献   

19.
Carbon nitride (CNx) thin films were deposited by radio frequency plasma enhanced chemical vapour deposition (rf PECVD) technique from a gas mixture of methane (CH4), hydrogen (H2) and nitrogen (N2). The effects of rf power on the structural properties of CNx thin films were discussed in this paper. It was found that rf power had significant effects on the growth rate, structural and morphological properties of the deposited films. The point of transition of the growth rate trend marked the equilibrium condition for primary and secondary reactions in growth kinetics of the film with respect to rf power. The films grown at this optimum rf power were most ordered in structure with high surface roughness and had the lowest N incorporation. This work showed that H etching effects and ion bombardment effects increase with increase in rf power and strongly influenced the structure of the CNx films.  相似文献   

20.
Laser-assisted chemical etching of Co, Cr, Cu and Ti w;is investigated using aqueous solutions of phosphoric acid and KOH at different concentrations. Thin metal films on glass substrates and thin foils were etched upon irradiation with a focussed Ar-laser operating at 514 nm and an output power of about 1 W. Static etch rates of the order of 10 pmμmsol;s were obtained at measured background etch rates less than 103 nm/s. The influence of the laser power on the etch rate suggests dominating thermally activated etch reactions. Due to the thermal nature of the etch process etched lines of about two times smaller width than the estimated laser spot diameter could be obtained. Etching of lines in thin Ti films on glass subsu'ates was performed by laser direct writing at speeds of about 1 mm/s and a laser power of about 0.3 W. Cutting of thin Ti foils was obtained at cutting velocities of about 30 um/s and a power of 0.8 W. The width of the etched lines was found to be controlled by laser power and writing speed. Some applications of the method are mask fabrication for lithography, drilling of small holes into metal parts and cutting of thin metal foils. Fabrication of microparts by laser etching of Ti foils is demonstrated.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号