首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 156 毫秒
1.
认知无线电中的功率和频谱联合分配算法   总被引:1,自引:1,他引:0  
在认知用户传输功率受限的情况下,分析了瑞利衰落模型下的认知用户效用及其优化过程,提出了基于注水线不断调整的认知用户功率分配及通信效用计算算法.将该算法应用到认知无线电频谱分配中,进而提出了一种基于信道二分法的认知无线电频谱分配机制,以此得到了不同优化模型下的用户效用.仿真表明,基于比例公平的优化模型在获得较高效用的同时...  相似文献   

2.
基于认知无线电技术特点和OFDM传输特性,提出一种OFDM多用户资源分配算法.认知无线电用户根据感知的资源状况,针对用户的带宽以及QOS等要求,通过对子载波和功率的分配使得整个信道容量达到最大.本算法引入“调度因子”来体现用户子载波分配过程中“比例公平”的原则,保障每个用户都能满足一定的通信要求;引入“衰减因子”和用户设备类型,在不干扰授权用户通信的前提下进行多用户之间分配子载波和功率.算法采用“二步法”,以降低计算复杂度,满足于认知无线电实时性要求.仿真结果表明所提算法相对于FDMA方案信道容量至少提高40%.  相似文献   

3.
针对认知无线电需要提高频谱利用率,限制功率以及保障QoS的要求,结合OFDM技术,研究了认知无线电场景中的多用户频谱分配,并给出了2种算法.其中,最优算法通过授权用户的SIR下限得到认知无线电的发射总功率,并采用拉格朗日定理为每个认知用户分配子载波和功率;次优算法引入"分配比例因子"来体现用户分配中的公平原则,并通过限制SIR得到频谱分配结果.仿真表明,最优、次优算法的性能好于基于FDMA的静态频谱分配算法,最优算法相对于FDMA能够有35%的容量提升;次优算法容量略有减小,但充分保障了用户的QoS需求.2种算法从不同层面满足了认知无线电的需求.  相似文献   

4.
认知无线电可通过频谱感知提高资源利用率,但会产生感知能耗,降低传输能量.为了保证认知无线电的传输性能,提出认知无线电可利用多载波实现无线携能通信,并分配通信资源,实现系统性能优化.认知无线电利用部分子载波传输信息,采集剩余子载波上主用户射频能量,补充感知耗能.提出的子载波和子载波功率联合优化算法,在保证能量、干扰和总功率受约束的基础上,可最优化系统的吞吐量和能量.仿真结果表明,能量采集会占用传输资源,需要合理分配子载波,使其在速率和能量间取得性能折中.提出的算法通过采集能量补充感知能耗有效地提高了系统吞吐量.  相似文献   

5.
认知无线电网络中的分布式动态频谱共享   总被引:5,自引:0,他引:5  
研究了基于认知无线电技术的动态频谱共享,基于重复博弈理论设计了一种分布式动态信道接入和功率分配算法。在不影响主用户通信的情况下,每个认知用户能够根据自己的QoS需求和本地信息进行分布式信道选择和功率分配,在系统频谱效率和业务QoS之间进行有效折中。该算法具有较好的收敛性,能最大程度地满足用户的业务需求,更适用于异构网络和业务的应用。  相似文献   

6.
认知无线电是一种智能推理学习的通信系统,为了实现认知无线电频谱分配智能学习过程,引入模糊Q学习方法.认知用户通过在线Q学习来调节模糊推理系统,得到最优的频谱分配模糊规则,实现自适应的频谱分配方案.最后将模糊Q频谱分配算法与非智能学习算法(模糊频谱分配算法以及随机分配算法)进行比较,仿真结果证明了该方案能在一定程度上提高系统带宽收益,同时降低系统的冲突率.  相似文献   

7.
针对基于正交频分复用(OFDM)的认知无线电系统中,子载波功率分配的最优化算法中出现的迭代运算繁琐、不易实现的问题,提出一种基于幂函数分布的次优化功率分配算法.该算法采用线性约束的凸优化数值运算方法,对认知用户频带内子载波的功率按照幂函数的数值特性依次分配,该算法具有运算速度快、易于实现的特点,并且有效地解决了授权用户受到认知用户频带内子载波带外泄漏功率的干扰问题.通过使用MATLAB建模仿真,结果表明,提出的算法方案在满足授权用户干扰门限的条件下,使得认知用户频带内信道容量能够达到最大化,并且在同样条件下提高了现有功率分配方案的最大传输速率.  相似文献   

8.
针对目前广泛应用的认知无线电技术,研究最大化认知系统能量利用率的问题.全局计入信道特性和系统本身特性对能量利用率的影响,利用无速率编码对网络动态环境的自适应能力以对抗主用户不可预知的出现,研究基于无速率编码的多信道认知无线电系统的能量分配算法.通过引入周期频谱感知模型,提出的能量分配算法根据信道和系统特性分配从用户的感知能量和传输能量,利用系统门限约束干扰概率以保护主用户通信.仿真结果表明,对应不同的信道或系统特性,提出的算法均能够显著降低能耗,提高能量利用率.  相似文献   

9.
为量化认知无线电队列时延与干扰功率之间的关系,提出一种功率分配方案. 此方案以最小化主用户接收端平均干扰功率为目标,受限于次用户时延服务质量约束. 推导非对称Nakagami-m衰落信道平均干扰功率闭合解. 仿真结果表明,干扰功率与时延约束之间存在折中. 当时延约束较严格时,认知无线电系统通过天线分集可显著降低次用户对主用户的干扰.  相似文献   

10.
考虑授权用户的干扰功率阈值,认知用户的总发射功率预算和各个SU的信干噪比,提出了一种基于鲁棒的多用户认知无线电能量有效的功率分配算法。利用凸优化的相关理论,采用拉格朗日算法得到增益最坏情况下的功率分配。仿真结果证明了该功率分配算法的可行性和有效性。  相似文献   

11.
为提高风电功率的预测精度, 提出基于数据分解和输入变量选择的短期风电功率预测方法。利用自适应噪声完备集成经验模态分解(complete ensemble empirical mode decomposition with adaptive noise, CEEMDAN)对原始风电功率和风速数据进行分解, 平缓数据波动以提取内部隐藏信息。通过排列熵算法(permutation entropy, PE)将风电功率分量简化重构以降低模型复杂度。为提升输入变量与风电功率之间的关联程度, 剔除冗杂信息, 降低输入数据维度, 结合Pearson相关系数(Pearson correlation coefficient, PCC)和灰色关联分析(grey relation analysis, GRA)对各风电重构功率分量的输入变量进行选择。最后利用基于注意力的时序卷积网络(attention-based temporal convolutional network, ATCN)对各重构功率分量进行预测, 将各预测值叠加得到最终结果。试验结果表明, 基于CEEMDAN-GRA-PCC-ATCN的短期风电功率预测方法能够提取更多风电数据内部的关键信息, 降低输入数据的维度, 强化输入变量与风电功率之间的关联性, 有效提高预测精度。  相似文献   

12.
为改善差分跳频系统的抗部分频带干扰性能,提出一种噪声归一化合并差分跳频接收机模型.采用矩生成函数法推导了非理想噪声功率估计条件下系统误符号率的联合上界.将信道建模为瑞利衰落信道,同时考虑了加性背景热噪声的影响.数值和仿真结果验证了理论分析的正确性.研究结果表明:在噪声估计不存在严重偏差时(估计误差因子满足0.1<λ<3.0),噪声归一化合并差分跳频接收机在最坏部分频带干扰下的性能优于乘积合并和线性合并差分跳频接收机,且当噪声估计值略低于理想估计值时(0.2<λ<0.6),系统误符号性能优于理想噪声估计条件下的误符号性能.  相似文献   

13.
1480nm激光泵浦单模光纤受激拉曼效应实验研究   总被引:1,自引:0,他引:1  
用拉曼光纤激光器产生的中心波长为1480nm的连续激光作为泵浦源,研究不同泵浦功率下76km常规单模光纤所产生的受激拉曼散射现象.实验中,泵浦功率从100mW到4W逐次注入光纤中.当泵浦功率增至2 2W时,观察到拉曼现象,发生泵浦能量向斯托克斯能量的有效转移,散射光强呈指数规律增长.在频移13 26THz处获得最大增益,呈现单峰斯托克斯光谱,其线宽大约为2nm,随着泵浦功率增强,基本保持不变.当泵浦功率增至2 5W时,呈现双峰斯托克斯光谱,斯托克斯峰442cm-1(13 26THz)处的峰值功率基本饱和,而485cm-1(14 6THz)处的尖峰却持续增长;且较短波长峰渐渐向长波长峰靠近,发生显著能量红移.  相似文献   

14.
基于虚拟磁链的PWM整流器直接功率控制研究   总被引:9,自引:0,他引:9  
以虚拟磁链为核心,建立三相Boost型脉冲宽度调制(PWM)整流器直接功率控制系统的模型结构.应用矢量空间变换方法及数字信号处理(DSP)技术,给出控制系统中交流电压、虚拟磁链和瞬时功率估计的数字化算法,探讨PWM调制开关表与功率滞环控制器的构成机制,并在实验平台上对所设计的基于虚拟磁链的三相Boost型PWM整流器直接功率控制系统进行实时控制试验.为检验控制系统性能,试验时在电源电压中注入5%基波幅值的5次谐波.试验结果表明,与传统的直接功率控制方法相比较,基于虚拟磁链的三相Boost型PWM整流器直接功率控制系统结构简单,能有效减少传感器的数量,且抗干扰能力强,电网输入电流的畸变较小,具有更优的瞬时功率静动态控制特性.  相似文献   

15.
根据风电预测精度随时间尺度的减小逐级提高的固有特性,建立了多时间尺度多目标协调调度的滚动优化模型。依据风电并网标准与分布式电池储能系统(distributed battery energy storage system, DBESS)能快速修正风电波动的低频分量,以系统经济性最优和弃风电量最小为目标函数建立优化模型,采用加入4个风电场(wind farm, WF)和2个电池储能系统(battery energy storage systems, BESSs)的IEEE-39节点标准系统进行算例分析,遗传算法(genetic algorithm, GA)对目标函数进行迭代求解。结果证明,本研究提出的基于DBESS的风储有功滚动优化调度模型,可以有效降低系统运行经济性以及提高电网对风电的接纳能力。  相似文献   

16.
为了满足穿戴式医疗设备中低功耗、高精度的模数转换应用需求,设计一种基于共源共栅反相器的低功耗14 bit/500 Hz Sigma-Delta调制器电路.在低电源电压环境下,该电路采用栅压自举开关完成了高精度的信号采样.利用共源共栅反相器替换传统Sigma-Delta调制器的跨导放大器(DTA),有效降低了电路功耗.电路采用SMIC 0.13 μm 1P8M 混合信号工艺实现,测试结果表明,在供电电压为0.6 V、时钟频率为256 kHz、信号带宽为500 Hz内,Sigma-Delta调制器输出信号最大信噪失真比为69.7 dB,有效精度为11.3 bit,功耗仅为5.07 μW.  相似文献   

17.
将感应电能传输(IPT)系统的补偿网络分离并加入各并联逆变器中,用以解决功率扩容和环流问题.分析采用单逆变器拓扑和功率扩容拓扑的IPT系统数学模型. 设计各并联逆变器的补偿网络参数,推导功率扩容系统的功率与效率表达式. 分析各并联逆变器在驱动不同步时的环流回路,给出零电压开关(ZVS)控制策略. 搭建1.2 kW 基于分离补偿网络的IPT系统功率扩容装置,进行实验验证. 实验结果表明,各并联逆变器的输出功率比约为1∶2,均实现了ZVS,功率扩容系统直流到直流的整体传输效率为92.53%,环流幅值为0.2 A,证明了所提功率扩容拓扑的实用性和有效性.  相似文献   

18.
In order to resolve the coordination and optimization of the power network planning effectively, on the basis of introducing the concept of power intelligence center (PIC), the key factor power flow, line investment and load that impact generation sector, transmission sector and dispatching center in PIC were analyzed and a multi-objective coordination optimal model for new power intelligence center (NPIC) was established. To ensure the reliability and coordination of power grid and reduce investment cost, two aspects were optimized. The evolutionary algorithm was introduced to solve optimal power flow problem and the fitness function was improved to ensure the minimum cost of power generation. The gray particle swarm optimization (GPSO) algorithm was used to forecast load accurately, which can ensure the network with high reliability. On this basis, the multi-objective coordination optimal model which was more practical and in line with the need of the electricity market was proposed, then the coordination model was effectively solved through the improved particle swarm optimization algorithm, and the corresponding algorithm was obtained. The optimization of IEEE30 node system shows that the evolutionary algorithm can effectively solve the problem of optimal power flow. The average load forecasting of GPSO is 26.97 MW, which has an error of 0.34 MW compared with the actual load. The algorithm has higher forecasting accuracy. The multi-objective coordination optimal model for NPIC can effectively process the coordination and optimization problem of power network. Foundation item: Project (70671039) supported by the National Natural Science Foundation of China  相似文献   

19.
针对电力系统中存在的低频振荡现象,提出了应用极大极小值原理设计电力系统稳定器(PSS)的新方法.基于单机无穷大(SMIB)系统扩展六系数模型设计了PSS,设计中的极大极小值优化问题采用系统特征值实部的最小值为目标函数,应用两空间遗传算法求解优化问题的控制参数,并对单机无穷大系统进行了特征值分析和时域仿真.结果表明,由该方法设计的电力系统稳定器在各种运行条件下均能有效地抑制低频振荡,具有较好的控制效果.与传统的控制器设计方法相比,所提出的方法具有设计简便、智能化程度高、鲁棒性好的优点  相似文献   

20.
针对风电大规模并网将增加电网调频需求的问题,提出考虑风电不确定性的风电机组同时参与能量市场与调频市场的日前投标方法.分析风电场在2种市场中的收益机制,在调频市场收益中考虑调频性能指标(FRPI),提出调频性能指标的估值方法. 分析风电场参与2种市场的投标策略. 利用核极限学习机(KELM)和核密度估计(KDE),建立风电功率概率预测模型KELM-PSO-KDE.基于功率概率密度的预测结果,以风电场收益最大为目标函数建立优化模型,利用蚁狮优化(ALO)算法求解该模型,得到风电场同时参与2种市场的日前最优投标功率. 风电场真实数据的仿真表明,提出的风电场同时参与2种市场的投标策略,可以使风场侧获得更大收益,有助于缓解电网的调频压力,具有优越性和普适性.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号