首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Two InP‐based III–V semiconductor etching recipes are presented for fabrication of on‐chip laser photonic devices. Using inductively coupled plasma system with a methane free gas chemistry of chlorine and nitrogen at a high substrate temperature of 250 °C, high aspect ratio, anisotropic InP‐based nano‐structures are etched. Scanning electron microscopy images show vertical sidewall profile of 90° ± 3°, with aspect ratio as high as 10. Atomic Force microscopy measures a smooth sidewall roughness root‐mean‐square of 2.60 nm over a 3 × 3 μm scan area. The smallest feature size etched in this work is a nano‐ring with inner diameter of 240 nm. The etching recipe and critical factors such as chamber pressure and the carrier plate effect are discussed. The second recipe is of low temperature (?10 °C) using Cl2 and BCl3 chemistry. This recipe is useful for etching large areas of III–V to reveal the underlying substrate. The availability of these two recipes has created a flexible III–V etching platform for fabrication of on‐chip laser photonic devices. As an application example, anisotropic InP‐based waveguides of 3 μm width are fabricated using the Cl2 and N2 etch recipe and waveguide loss of 4.5 dB mm?1 is obtained.
  相似文献   

2.
This work presents fabrication of micro structures on sub–100 nm SiC membranes with a large aspect ratio up to 1:3200. Unlike conventional processes, this approach starts with Si wet etching to form suspended SiC membranes, followed by micro‐machined processes to pattern free‐standing microstructures such as cantilevers and micro bridges. This technique eliminates the sticking or the under‐etching effects on free‐standing structures, enhancing mechanical performance which is favorable for MEMS applications. In addition, post‐Si‐etching photography also enables the formation of metal electrodes on free standing SiC membranes to develop electrically‐measurable devices. To proof this concept, the authors demonstrate a SiC pressure sensor by applying lithography and plasma etching on released ultrathin SiC films. The sensors exhibit excellent linear response to the applied pressure, as well as good repeatability. The proposed method opens a pathway for the development of self‐sensing free‐standing SiC sensors.  相似文献   

3.
Stain etching of p-type silicon in hydrofluoric acid solutions containing nitric acid or potassium permanganate as an oxidizing agent has been examined. The effects of etching time, oxidizing agent and HF concentrations on the electrochemical behavior of etched silicon surfaces have been investigated by electrochemical impedance spectroscopy (EIS). An electrical equivalent circuit was used for fitting the impedance data. The morphology and the chemical composition of the etched Si surface were studied using scanning electron microscopy (SEM) and energy dispersive X-ray (EDX) techniques, respectively. A porous silicon layer was formed on Si etched in HF solutions containing HNO3, while etching in HF solutions containing KMnO4 led to the formation of a porous layer and simultaneous deposition of K2SiF6 inside the pores. The thickness of K2SiF6 layer increases with increasing the KMnO4 concentration and decreases as the concentration of HF increases.  相似文献   

4.
We report the fabrication of degenerately doped silicon (Si) nanowires of different aspect ratios using a simple, low-cost and effective technique that involves metal-assisted chemical etching (MacEtch) combined with soft lithography or thermal dewetting metal patterning. We demonstrate sub-micron diameter Si nanowire arrays with aspect ratios as high as 180:1, and present the challenges in producing solid nanowires using MacEtch as the doping level increases in both p- and n-type Si. We report a systematic reduction in the porosity of these nanowires by adjusting the etching solution composition and temperature. We found that the porosity decreases from top to bottom along the axial direction and increases with etching time. With a MacEtch solution that has a high [HF]:[H(2)O(2)] ratio and low temperature, it is possible to form completely solid nanowires with aspect ratios of less than approximately 10:1. However, further etching to produce longer wires renders the top portion of the nanowires porous.  相似文献   

5.
Abstract

We report an accessible and simple method of producing ‘black silicon’ with aspect ratios as high as 8 using common laboratory equipment. Gold was sputtered to a thickness of 8 nm using a low-vacuum sputter coater. The structures were etched into silicon substrates using an aqueous H2O2/HF solution, and the gold was then removed using aqua regia. Ultrasonication was necessary to produce columnar structures, and an etch time of 24 min gave a velvety, non-reflective surface. The surface features after 24 min etching were uniformly microstructured over an area of square centimetres.  相似文献   

6.
A novel approach to produce a large‐area hierarchical structure array is presented. The method combines replica molding and atmospheric pressure plasma (APP) etching processes. Liquid blends consisting of siliconized silsesquioxane acrylate (Si‐SSQA), polyethylene glycol dimethacrylate (PEGDMA), and photoinitiator are used as roughness formable materials during APP etching. Microstructures composed of the Si‐SSQA/PEGDMA mixtures are fabricated by replica molding. Nanoroughness is realized on the microstructures by argon/oxygen (Ar/O2) APP etching in air. The nano­roughness on molded microstructures is efficiently controlled by varying the weight ratio of Si‐SSQA to PEGDMA and the etching time. The hierarchical structures fabricated by combining replica molding and Ar/O2 APP etching show superhydrophilicity with a long‐term stability, resulting in the formation of hydroxyl‐terminated silicon oxide layer with the reorientation limit. On the other hand, the hierarchical structures treated with a perfluorinated self‐assembled monolayer (SAM) show increased the water contact angles of up to 161° depending on the morphology of the hierarchical structures. The increment of water contact angles is consistent with increment of the nano‐/microroughness of hierarchical structures.  相似文献   

7.
H Norström  R Buchta  F Runovc  P Wiklund 《Vacuum》1982,32(12):737-745
SiO2 and Si3N4 on top of polycrystalline silicon, titanium silicide and gallium arsenide have been selectively etched by reactive sputter etching in glow discharges of CF4 and CHF3. It is observed that for SiO2 an infinite degree of selectivity can be obtained by admixing minor amounts of methane (<5%) to CHF3. By a proper adjustment of the operating conditions, i.e. power, gas-flow, total pressure and the CH4 to fluorocarbon ratio, we are able to control the rate of carbon deposition in such a way that etching takes place in exposed areas, releasing oxygen or nitrogen under the influence of energetic particle bombardment. The ion-assisted chemical reaction between oxygen or nitrogen and the polymerizing species, forming volatile products, together with the physical sputtering, makes these areas accessible to fluorine-containing species responsible for the chemical etching of SiO2. The importance of carbon deposition and oxygen release under energetic particle bombardment is demonstrated by resting the samples on different cathode materials and by sputter etching in an argon/methane atmosphere.These experiments confirm that prevention of carbon build-up by released oxygen is the main mechanism responsible for the high etch rate ratio between SiO2 and Si in reactive ion etching.  相似文献   

8.
Etching characteristics of high-k dielectric materials (HfO2) and metal electrode materials (Pt, TaN) have been studied in high-density chlorine-containing plasmas at pressures around 10 mTorr. The etching of HfO2 was performed in BCl3 without rf biasing, giving an etch rate of about 5 nm/min with a high selectivity of >10 over Si and SiO2. The etching of Pt and TaN was performed in Ar/O2 with high rf biasing and in Ar/Cl2 with low rf biasing, respectively, giving a Pt etch rate of about several tens nm/min and a TaN etch rate of about 200 nm/min with a high selectivity of >8 over HfO2 and SiO2. The etched profiles were outwardly tapered for Pt, owing to the redeposition of etch or sputter products on feature sidewalls, while the TaN profiles were almost anisotropic, probably owing to the ion-enhanced etching that occurred.  相似文献   

9.
We report the broadband and wide-angle antireflection subwavelength structures (SWSs) on silicon (Si) substrate by inductively coupled plasma (ICP) etching using gold (Au) nanopatterns as etch masks. The reflectance depends strongly on the etched profile of Si SWSs which is influenced by both thermal dewetting and etching conditions. The size, shape, and array geometry of nano-sized patterns, which are produced via the thermal dewetting of Au thin films, are optimized under proper heat treatment. The etched depth and shape of Si nano tips are controlled additionally by ICP power, thus achieving the efficient antireflection characteristics. The optimized Si SWS with the tapered structure and sharp tips at high ICP power leads to a significantly low reflectance value of < 1% at wavelengths of 350-1100 nm. Furthermore, it exhibits a wide-angle antireflection property of < 7.5% at incident angles of 8-70° over a wide wavelength range of 300-1100 nm.  相似文献   

10.
A strategy is presented for the in situ synthesis of single crystalline CuO nanorods and 3D CuO nanostructures, ultra‐long Cu nanowires and Cu nanoparticles at relatively low temperature onto various substrates (Si, SiO2, ITO, FTO, porous nickel, carbon cotton, etc.) by one‐step thermal heating of copper foam in static air and inert gas, respectively. The density, particle sizes and morphologies of the synthesized nanostructures can be effectively controlled by simply tailoring the experimental parameters. A compressive stress based and subsequent structural rearrangements mechanism is proposed to explain the formation of the nanostructures. The as‐prepared CuO nanostructures demonstrate promising electrochemical properties as the anode materials in lithium‐ion batteries and also reversible wettability. Moreover, this strategy can be used to conveniently integrate these nanostructures with other nanostructures (ZnO nanorods, Co3O4 nanowires and nanowalls, TiO2 nanotubes, and Si nanowires) to achieve various hybrid hierarchical (CuO‐ZnO, CuO‐Co3O4, CuO‐TiO2, CuO‐Si) nanocomposites with promising properties. This strategy has the potential to provide the nano society with a general way to achieve a variety of nanostructures.  相似文献   

11.
Fresnel zone plates (FZPs) for soft X-ray microscopy with an energy range of 284 eV to 540 eV are designed and fabricated in a simple method. An adequate aspect ratio of the resist mold for electroplating was obtained by the proximity effect correction technology for an incident electron beam on a single thick layer resist. Without additional complicated reactive ion etching, a sufficient electro plating mold for nickel structures was fabricated. The overall fabrication procedures which involve a mix-and-match overlay technique for electron beam lithography and an optic exposure system that centers the membrane on the nanostructures, and hybrid silicon etching technology in junction with deep anisotropy and a KOH wet method in order to release the backside Si substrates of the Si3N4 membranes with no deformation of FZPs are introduced. High quality nanostructures with minimum outermost zone widths of 50 nm and diameters of 120 microm were fabricated with simplified fabrication process and with cost-effective.  相似文献   

12.
First results are reported of in situ visualization of the chemical etching of P+-ion implanted SiO2-Si structures in an aqueous HF solution using an atomic force microscope. The rates of SiO2 etching were determined and the kinetics of the photostimulated chemical etching of Si were investigated. Pis’ma Zh. Tekh. Fiz. 24, 81–86 (November 12, 1998)  相似文献   

13.
A simple method to release Si nanowires (SiNWs) from a substrate, with their original length almost intact, is demonstrated. By exploiting the unique chemistry involved for the fabrication of vertical arrays of SiNWs in metal‐assisted chemical etching (MaCE) based either on HF/AgNO3 or HF/H2O2 chemistries, wet etching with alkali hydroxides such as NaOH or KOH preferentially attacks the bottom part of the vertical SiNWs. A protective layer of Si oxide is found to exist on the outer wall of the SiNWs and to play the key role of etch mask during the release‐etching by alkali hydroxides. The clean release of SiNWs also enables the repeated use of the Si substrate for the fabrication of vertical SiNW arrays by MaCE. The released SiNWs are further used for the fabrication of field‐effect transistors on a flexible plastic substrate. The method developed here, when combined with a suitable assembling technique, can be very useful in implementing flexible electronics, or in the fabrication of SiNW composites with other functional materials.  相似文献   

14.
A method to produce biocompatible polymer‐coated silicon nanocrystals for medical imaging is shown. Silica‐embedded Si nanocrystals are formed by HSQ thermolysis. The nanocrystals are then liberated from the oxide and terminated with Si–H bonds by HF etching, followed by alkyl monolayer passivation by thermal hydrosilylation. The Si nanocrystals have an average diameter of 2.1 nm ± 0.6 nm and photoluminesce with a peak emission wavelength of 650 nm, which lies within the transmission window of 650–900 nm that is useful for biological imaging. The hydrophobic Si nanocrystals are then coated with an amphiphilic polymer for dispersion in aqueous media with the pH ranging between 7 and 10 and an ionic strength between 30 mM and 2 M , while maintaining a bright and stable photoluminescence and a hydrodynamic radius of only 20 nm. Fluorescence imaging of polymer‐coated Si nanocrystals in biological tissue is demonstrated, showing the potential for in vivo imaging.  相似文献   

15.
《Thin solid films》2006,515(2):775-777
A new method of fabrication of nanocrystalline silicon-based light-emitting-devices is introduced. Si nanocrystals are derived from combustion or pyrolysis of silane and etched subsequently in a two-phase solution of HF. The p–i–n diodes have an active layer (20–60 nm) of Si nanocrystals sandwiched between thin isolating layers of SiO2 or a-Si : H and a top-layer of p+ doped silicon, the substrate being of n+ Si. For both types of structures, electroluminescence is observed under forward bias exceeding 5 V and the spectrum consists of a broad band (due to a large size distribution of Si nanocrystals) centred around 650 nm and giving a yellowish appearance when observed by naked-eye. The integrated electroluminescence intensity growths with the square of applied bias.  相似文献   

16.
Micro-indentation and HF etching were explored as micro-fabrication techniques applied to glass surfaces. The effects of the aluminosilicate glass composition and of the etching conditions on the etching rate were investigated. It was found that the etching rate increased with increasing the ratio of Al2O3 to SiO2 in the aluminosilicate glass. Etching parameters, such as pH, concentration and temperature of HF acid, had effects on etching rate. However, the effects of these parameters were much smaller at indented area than at non-indented area. The results indicated that the etching rate difference between the two areas, which is one of the key factors in the micro-fabrication technique, could be controlled with these parameters. And the phenomena can be well explained in terms of etching and leaching mechanism of aluminosilicate glass.  相似文献   

17.
p-Type cubic silicon carbide was anodically etched using an electrolyte of HF:HCl:H2O. The etching depth was determined versus time with a fixed current density of 96.4 mA cm–2. It was found that the etching was very smooth and very uniform. An etch rate of 22.7 nm s–1 was obtained in a 1:1:50 HF:HCl:H2O electrolyte.  相似文献   

18.
The fabrication of high aspect ratio silicon trenches (critical dimension < 100 nm, aspect ratio > 10:1) by dry etch processing has proven to be a challenge mainly due to limited etch selectivity of conventional hardmask materials to Si. Moreover, for future technology nodes the hardmask thickness will be limited by the thickness of the photoresist. This work focuses on a concept to enable the usage of very thin resist layers (< 100 nm) for patterning of silicon trenches by the integration of an unconventional hardmask stack consisting of SiO2 and ZrO2. Deposition of such material films has been investigated, as well as e-beam lithography exposure and finally pattern transfer by dry etching. Using this hardmask stack and 100 nm thin resist, the fabrication of 35 nm wide trenches with an aspect ratio of ~ 20:1 is demonstrated revealing a very high selectivity (> 100:1) of the ZrO2 layer to Si during the deep silicon etch. A silicon etch rate > 1.5 μm/min was achieved. The ZrO2 layer itself provides the main selectivity improvements of the final hardmask stack.  相似文献   

19.
《Thin solid films》2006,515(2):587-590
We report etching processes of epitaxial La0.67(Sr,Ca)0.33 MnO3 (LSCMO) colossal magnetoresistive (CMR) films grown on Bi4Ti3O12/CeO2/YSZ oxide-buffered Si using buffered HF (BHF), potassium hydroxide (KOH) and Ar ion beam etching (IBE) methods. LSCMO films demonstrate high resistivity against the KOH etchant whereas 22 nm/min etching rate was obtained in the BHF with high selectivity over photoresist and Si. Compared to 24 nm/min for Si, Ar IBE yields 16 nm/min etching rate for the LSCMO film and the oxide-buffer layers.  相似文献   

20.
The effects of single and double masks on focused ion beam (FIB) direct patterning and chlorine-based inductively coupled plasma reactive ion etching (ICP-RIE) were studied in order to determine the influence of twice-deposited mask layers on the verticality of the side wall of silicon-based nanostructures. When a single mask was used as the etching mask, an inclined plane with a large side angle on the top area was formed. When a double mask was used, the first mask layer of chromium (Cr) was deposited by RF (radio frequency) magnetron sputtering and then directly patterned by FIB. Then, the secondary mask layer of SiO2, which was deposited to protect the side wall in order to retard etching and prevent the formation of an inclined plane, was deposited by RF magnetron sputtering. However, the SiO2 on the top and bottom of the nanostructure was removed through anisotropic etching by ICP-RIE, and only SiO2 on the side wall was retained. The experimental results show that the SiO2 layer left on the side wall as an etching barrier can effectively maintain the verticality of the nanostructure. The measurement results show that the verticality and aspect ratio of the nanostructure are 90.8° and 5.08 (depth: 310 nm, width: 61 nm), respectively.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号