首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 62 毫秒
1.
FFT实时谱分析系统的FPGA设计和实现   总被引:7,自引:0,他引:7  
采用按时间抽选的基4原位算法和坐标旋转数字式计算机(CORDIC)算法实现了一个FFT实时谱分析系统。整个设计采用流水线工作方式,保证了系统的速度,避免了瓶颈的出现;整个系统采用FPGA实现,实验表明,该系统既有DSP器件实现的灵活性又有专用FFT芯片实现的高速数据吞吐能力,可以广泛地应用于数字信号处理的各个领域。  相似文献   

2.
针对当前数字信号处理领域对快速傅里叶变换应用的广泛需求,在对算法原理分析的基础上,给出了8点基-2按时间抽选FFT处理器的实现方案;并综合Xilinx xc3s1500系列芯片,通过Modelsim SE 6.0对程序进行仿真.实验结果表明,该处理器功能实现正确,并且具有较高的运算速度和精度.  相似文献   

3.
激光光谱探测的FPGA实现   总被引:1,自引:0,他引:1  
本文提出了通过非扫描M-Z干涉法来获取激光信号的相干图,并对该图进行快速傅立叶变换,从而实时获得激光光谱的技术.在研究中,由M-Z干涉具形成的激光干涉条纹经CCD相机转换后以时间序列依次输出电信号,该时间序列的快速傅立叶变换用FPGA硬件实现.用硬件语言编写了精度为10位,序列长度为512点的快速傅里叶变换程序,并将所有程序成功下载到FPGA的配置芯片中.最后,对所设计的快速傅里叶变换模块进行了测试,结果表明FPGA计算结果达到应有的精度,运行速度可以满足激光光谱的实时探测要求.  相似文献   

4.
利用CORDIC算法在FPGA中实现可参数化的FFT   总被引:1,自引:4,他引:1  
针对在工业中越来越多的使用到的FFT,本文设计出了一种利用CORDIC算法在FPGA上实现快速FFT的方法。CORDIC实现复数乘法比普通的计算器有结构上的优势,并且采用了循环结构的CORDIC算法大大节约了硬件资源。在FFT的结构上采用了2个16点FFT的计算模块来实现蝶形计算。通过地址控制器和RAM的配合,可以完成8点至2048点的虚部实部均为16位的FFT计算。  相似文献   

5.
在处理图像类信息时,图像细节往往能传达更多信息,是人们较为关注部分。针 对在光照不理想的条件下,传感器采集到的图像对比度低、细节难以分辨的问题,提出一种基 于现场可编程门阵列(FPGA)的二维快速傅立叶变换的图像边缘提取及增强方法。通过模块化设 计,完成 4 路并行 512×512 点快速傳里叶变换(FFT)运算处理器设计,并通过 FFT 模块复用减 少 FPGA 内资源消耗,同时实现图像频谱的高通滤波算法及傅立叶逆变换算法。经过仿真与实 验,确定该方法有效可靠,实时性强,可以满足工业上图像处理的需求。  相似文献   

6.
全相位频谱分析(apFFT)是传统FFT的一种改进算法,能改善FFT的栅栏效应和截断效应,具有频谱泄露少、相位不变的特性.介绍采用FPGA器件实现apFFT算法,精度高于模拟式测量,并且适用性强、成本低,所得到的QuratusⅡ仿真结果与Matlab软件仿真结果一致.  相似文献   

7.
《微型机与应用》2017,(10):70-73
提出了一种基于最优搜索的稀疏傅里叶变换(SFT)的并行实现设计。首先将输入信号分为并行N组,分别进行快速傅里叶变换(FFT),实现信号频率分量的取模处理,然后通过排序搜索获得。经验证,相较于FFTW,当信号长度大于524 288时,执行时间会有更好的表现;相较于正交匹配算法及其他SFT的FPGA实现,其系统的复杂度降低了。  相似文献   

8.
本文讨论了一种可在FPGA上实现的FFT结构.该结构采用基于流水线结构和快速并行乘法器的蝶形处理器.乘法器采用改进的Booth算法,简化了部分积符号扩展,使用Wallace树结构和4-2压缩器对部分积归约.以8点复点FFT为实例设计相应的控制电路.使用VHDL语言完成设计,并综合到FPGA中.从综合的结果看该结构可在XC4025E-2上以52MHz的时钟高速运行.在此基础上易于扩展为大点数FFT运算结构.  相似文献   

9.
本文讨论了一种可在FPGA上实现的FFT结构。该结构采用基于流水线结构和快速并行乘法器的蝶形处理器。乘法器采用改进的Booth算法,简化了部分积符号扩展,使用Wallace树结构和4-2压缩器对部分积归约。以8点复点FFT为实例设计相应的控制电路。使用VHDL语言完成设计,并综合到FPGA中。从综合的结果看该结构可在XC4025E-2上以52MHz的时钟高速运行。在此基础上易于扩展为大点数FFT运算结构。  相似文献   

10.
提出了基于知识产权核(IPCore)的快速傅里叶变换(FFT)实现方案,以解决传统电动机故障诊断的非实时性问题。整个设计利用ALTERA公司提供的DSP Builder和QUARTUS Ⅱ 6.0开发软件,根据频谱分析的原理,采用先进的层次化设计思想,利用FFT处理器设计了一种适合在FPGA器件上实现的频谱分析的实用电路,使用一片FPGA芯片完成了整个频谱分析系统的电路设计。整体设计经过时序仿真和硬件仿真,运行速度达到50 MHz以上。结果表明该方法具有设计简单、快速、高效和实时性好等优点,具有一定的通用性和灵活性。  相似文献   

11.
描述了轧辊偏心信号的特点.介绍了基于快速傅里叶变换的轧辊偏心补偿控制策略,并给出了实施效果.  相似文献   

12.
数据全并行FFT处理器的设计   总被引:5,自引:0,他引:5  
讨论了基4和混和基算法的FFT处理器设计问题,提出的操作数地址映射方法充分利用了FFT算法本身的同址性质,能同时提供蝶形运算所需的4个操作数,具有最大的数据并行性,按照旋转因子存放规则,蝶形运算所需的3个旋转因子地址相同,且寻址方式简单,运算部件采用3个乘法的复数运算算法,有效减少了运算部件的大小,它既可以作基4蝶形运算,也可以同时进行2个基2蝶形运算.采用Altera公司的EP200K400E,工作频率达到89MHz,1024点16位复数FFT需要14.1μs,4096点需要67μs。  相似文献   

13.
分段FFT算法在FBG传感器信号解调中的应用   总被引:1,自引:0,他引:1  
针对光纤B ragg光栅(FBG)传感器信号解调实时性较差,且当2个信号波形发生部分重叠时波长不能被检测的问题,提出了利用F-P可调谐滤波器,采用分段FFT的快速相关算法。此算法具有运算量小、效率高的优点,达到信号实时处理的目的。通过MATLAB大量仿真实验,证明可以有效解决波形部分重叠问题。  相似文献   

14.
基于FFT盲辨识的肌电信号建模及模式识别   总被引:1,自引:0,他引:1  
针对表面肌电信号(Electromyographic signal,sEMG)产生原理复杂、易受人体自身及外界因素影响的特点,采用基于快速傅里叶变换(Fast Fourier transform,FFT)的盲辨识方法建立肌电信号模型.该方法通过计算即可确定信道阶次,无需人为凭借经验设定,且计算简单、易于实现、运算速度快.其利用输出信道间的相互关系特性,实现信号的频域盲辨识,建立数学模型.此方法适用于小样本信号建模,非常适合易受肌肉疲劳影响的表面肌电信号.将模型系数作为改进的BP神经网络的输入,实现多运动模式识别,与其他盲辨识方法比较,此方法识别效果较好.  相似文献   

15.
由于目前对快速码捕获速度的要求越来越高,而目前使用比较普遍的码捕获方法是基于FFT的快速码捕获.因此开发出一种快速简单实用的FFT计算方法势在必行.利用FPGA的丰富资源以及灵活的IPCore功能,使设计流程大大简化,为实现FFT算法提供了一种方便快捷的方法.仿真和实验结果证明,该方法准确可靠,计算速度快.  相似文献   

16.
提出了一种基于迭代FFT算法的大型直线稀疏阵列(可放置阵元的栅格数为1 000)的旁瓣电平优化方法,并给出了详细的优化步骤。在给定的旁瓣约束条件下,利用阵列因子与阵元激励之间存在的傅里叶变换关系,对不同的初始随机阵元激励分别进行迭代循环来降低稀疏阵列的旁瓣电平。在迭代过程中,根据稀疏率将阵元激励按幅度大小置1置0来完成阵列稀疏。仿真实验证明了该方法的高效性和稳健性。  相似文献   

17.
FFT算法是信号处理中一个不可或缺的部分,也是其中需要改进的部分.设计一个精度优良的FFT算法有助于推进频谱分析的实用化进程.针对FFT改进算法的实现需求,文章采用了C语言结构设计了一个任意点数的FFT算法,分析了混合卷积窗的频谱特性,并总结了任意窗函数的幅值恢复方法.最终通过构建混合卷积窗和双窗法结合的处理方法有效提...  相似文献   

18.
本文阐述了FFT的原理及FFT处理器的结构,深入分析了算法实现过程中数据传输的特点,在一般的实现结构上做了改进,主要介绍利用FPGA及状态机设计方法实现FFT算法,给出了FFT处理器中每个模块的具体设计方法。  相似文献   

19.
提出了Radix-4 FFT的优化算法,采用该优化算法设计了64点流水线IFFT/FFT处理器,该处理器可以在64个时钟周期内仅采用3个复数乘法器获得64点处理结果,提高了运算速度,节约了硬件资源。通过Xilinx XC2S300E Spartan2E系列的xc2s300e器件进行下载验证,仿真结果与MATLAB计算结果误差小于0.5%,该处理器已经成功应用于某OFDM通信系统中。  相似文献   

20.
本文利用频域抽取基四算法,运用灵活的硬件描述语言-Verilog HDL作为设计主体.设计并实现一套集成于FPGA内部的FFT处理器.FFT处理器的硬件试验结果表明该处理器的运算结果正确,并且具有较高运算速度.该方法具有设计简单灵活,体积小等优点,可用于雷达处理、高速图像处理和数字通信等应用场合.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号