首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Reactive ion etching (RIE) was performed on GaN and BN thin films using chlorine-based plasmas. The optimum chemistry was found to be BCl3/Cl2/N2/Ar and Cl2/Ar at 30 and 40 mtorr for GaN and BN etching, respectively. X-ray photoelectron spectroscopy (XPS) and Auger electron spectroscopy (AES) analysis of the GaN and BN etched surfaces show a decrease in the surface nitrogen atomic composition and an increase in chlorine impurity incorporation with increasing self-dc bias. A photo-assisted RIE (PA-PIE) process using an IR filtered Xe lamp beam was then used and resulted in improved etch rates and surface composition. Optical emission spectroscopy (OES) measurements have also shown photoenhancement of the etch process.  相似文献   

2.
Deep reactive ion etching (DRIE) of borosilicate glass was carried out using SF6 and SF6/Ar plasmas in an inductively coupled plasma (ICP) reactor. Electroplated Ni on Cu (≅50 nm)/Cr (≅100 nm)/glass structure using patterned SU-8 photoresist mask with a line spacing of 12-15 μm was used as a hard-mask for plasma etching. Plasma etching of borosilicate glass was performed by varying the various process parameters such as the gas chemistry, the gas flow ratio, the top electrode power, and the dc self-bias voltage (Vdc). In the case of using SF6 gas only, the profiles of the etched channel showed the undercut below the Ni hard-mask due to a chemical etching and the microtrenching at the bottom of the etched channel. An optimized process using the SF6 plasmas showed the glass etch rate of ≅750 nm/min. The addition of the Ar gas to the SF6 gas removed the undercut and microtrenching but decreased the etch rate to ≅540 nm/min. The increasing and decreasing time-dependent etch rates with the etch depth in the SF6 (200 sccm) and SF6(60%)/Ar(40%) plasmas, respectively, were ascribed to the different ion-to-neutral flux ratios leading to the different etch process regime.  相似文献   

3.
Etch rates for InGaP and AlGaP are examined under electron cyclotron resonance (ECR) conditions in Cl2/Ar, BCl3/Ar, BCl3/N2, ICl/Ar, and IBr/Ar discharges. All the plasmas except IBr/Ar provide rapid etching of InGaP at rates above 1 μm min−1. ICl/Ar provides the highest etch rates. Unlike the Cl2/Ar and BCl3-based chemistries, the rates in ICl/Ar and IBr/Ar are almost independent of microwave power in the range 400–1000 W. Much lower rates were obtained for AlGaP in every discharge due to the greater difficulties in bond breaking that must precede formation and desorption of the etch products.  相似文献   

4.
A parametric study of the etch characteristics of Ga-based (GaAs, GaSb, and AlGaAs) and In-based (InGaP, InP, InAs, and InGaAsP) compound semiconductors in BCl3/Ar planar inductively coupled plasmas (ICPs) was performed. The Ga-based materials etched at significantly higher rates, as expected from the higher volatilities of the As, Ga, and Al trichloride, etch products relative to InCl3. The ratio of BCl3 to Ar proved critical in determining the anisotropy of the etching for GaAs and AlGaAs, through its effect on sidewall passivation. The etched features in In-based materials tended to have sloped sidewalls and much rougher surfaces than for GaAs and AlGaAs. The etched surfaces of both AlGaAs and GaAs have comparable root-mean-square (RMS) roughness and similar stoichiometry to their unetched control samples, while the surfaces of In-based materials are degraded by the etching. The practical effect of the Ar addition is found to be the ability to operate the ICP source over a broader range of pressures and to still maintain acceptable etch rates.  相似文献   

5.
Dry etching of InGaP, AlInP, and AlGaP in inductively coupled plasmas (ICP) is reported as a function of plasma chemistry (BCl3 or Cl2, with additives of Ar, N2, or H2), source power, radio frequency chuck power, and pressure. Smooth anisotropic pattern transfer at peak etch rates of 1000–2000Å·min?1 is obtained at low DC self-biases (?100V dc) and pressures (2 mTorr). The etch mechanism is characterized by a trade-off between supplying sufficient active chloride species to the surface to produce a strong chemical enhancement of the etch rate, and the efficient removal of the chlorinated etch products before a thick selvedge layer is formed. Cl2 produces smooth surfaces over a wider range of conditions than does BCl3.  相似文献   

6.
Transparent conducting Al-doped ZnO (ZnO:Al, AZO) thin films were prepared at substrate temperature of 270 °C by pulsed direct current magnetron sputtering. NaOH solution (5 wt%) was employed to etch the AZO films at room temperature, and the surface textured AZO films were obtained successfully. The relationship between the surface textured structures and the etching process controlled by etching time was discussed. The textured morphology of the etched AZO films became clear as increasing the etching time, and the AZO film etched for 30 min exhibited uniformly and distinctly crater-like surface textured structure. Correspondingly, the haze and the resistivity increased with the increasing etching time. And the resistivity of the AZO film etched for 30 min was 3.2×10−3 Ω cm.  相似文献   

7.
The etching mechanism of ZrO2 thin films and etch selectivity over some materials in both BCl3/Ar and BCl3/CHF3/Ar plasmas are investigated using a combination of experimental and modeling methods. To obtain the data on plasma composition and fluxes of active species, global (0‐dimensional) plasma models are developed with Langmuir probe diagnostics data. In BCl3/Ar plasma, changes in gas mixing ratio result in nonlinear changes of both densities and fluxes for Cl, BCl2, and BCl2+. In this work, it is shown that the nonmonotonic behavior of the ZrO2 etch rate as a function of the BCl3/Ar mixing ratio could be related to the ion‐assisted etch mechanism and the ion‐flux‐limited etch regime. The addition of up to 33% CHF3 to the BCl3‐rich BCl3/Ar plasma does not influence the ZrO2 etch rate, but it non‐monotonically changes the etch rates of both Si and SiO2. The last effect can probably be associated with the corresponding behavior of the F atom density.  相似文献   

8.
The etching mechanism of (Bi4−xLax)Ti3O12 (BLT) thin films in Ar/Cl2 inductively coupled plasma (ICP) and plasma-induced damages at the etched surfaces were investigated as a function of gas-mixing ratios. The maximum etch rate of BLT thin films was 50.8 nm/min of 80% Ar/20% Cl2. From various experimental data, amorphous phases on the etched surface existed on both chemically and physically etched films, but the amorphous phase was thicker after the 80% Ar/20% Cl2 process. Moreover, crystalline “breaking” appeared during the etching in Cl2-containing plasma. Also the remnant polarization and fatigue resistances decreased more for the 80% Ar/20% Cl2 etch than for pure Ar plasma etch.  相似文献   

9.
Dry etched InAlN and GaN surfaces have been characterized by current-voltage measurement, Auger electron spectroscopy, and atomic force microscopy. Electron cyclotron resonance discharges of BCl3. BCl3/Ar, BCl3/N2, or BCl3/N2 plus wet chemical etch all produce nitrogen surfaces that promote leakage current in rectifying gate contacts, with the BCl3/N2 plus wet chemical etch producing the least disruption on the surface properties. The conductivity of the immediate InAlN or GaN surface can be increased by preferential loss of N during BCl3 plasma etching, leading to poor rectifying contact characteristics when the gate metal is deposited on this etched surface. Careful control of plasma chemistry, ion energy, and stoichiometry of the etched surface are necessary for acceptable pinch-off characteristics. Hydrogen passivation during the etch was also studied.  相似文献   

10.
Beta phase Gallium trioxide (β-Ga2O3) thin film was grown by metal organic chemical vapor deposition technology. Mixture gases of SF6 and Ar were used for dry etching of β-Ga2O3 thin film by inductively coupled plasma (ICP). The effect of SF6/Ar (etching gas) ratio on etch rate and film etching damage was studied. The etching rate and surface roughness were measured using F20-UN thin film analyzer and atomic force microscopy showing that the etching rate in the range between 30 nm/min and 35 nm/min with an improved surface roughness was obtained when the reactive mixed gas of SF6/Ar was used. The analysis of X-ray diffraction and transmission spectra further confirmed the non-destructive crystal quality. This work demonstrates that the properly proportioned mixture gases of SF6/Ar is suitable for the dry etching of β-Ga2O3 thin film by ICP and can serve as a guide for future β-Ga2O3 device processing.  相似文献   

11.
We compare ECR plasma etch fabrication of self-aligned thin emitter carbondoped base InGaAs/InP DHBT structures using either CH4/H2/Ar or BCl3/N2 etch chemistries. Detrimental hydrogen passivation of the carbon doping in the base region of our structure during CH4/H2/Ar dry etching of the emitter region is observed. Initial conductivity is not recovered with annealing up to a temperature of 500°C. This passivation is not due to damage from the dry etching or from the MOMBE growth process, since DHBT structures which are ECR plasma etched in BCl3/N2 have the same electrical characteristics as wet etched controls. It is due to hydrogen implantation from the plasma exposure. This is supported with secondary ion mass spectroscopy profiles of structures which are etched in CH4/D2/Ar showing an accumulation of deuterium in the C-doped base region.  相似文献   

12.
One of the major GaN processing challenges is useful pattern transfer. Serious photoresist mask erosion and hardening are often observed in reactive ion etching of GaN. Fine pattern transfer to GaN films using photoresist masks and complete removal of remaining photoresist after etching are very difficult. By replacing the etch mask from conventional photoresist to a sputtered iron nitride (Fe-8% N) film, which is easily patterned by wet chemical etching and is very resistive to Cl based plasmas, GaN films can be finely patterned with vertical etched sidewalls. Successful pattern transfer is realized by reactive ion etching using Cl (H) containing plasmas. CHF3/Ar, C2ClF5/Ar, C2ClF5/Ar/O2, SiCl4, and CHCl3 plasmas were used to etch GaN. The GaN etch rate is dependent on the crystalline quality of GaN. Higher crystalline quality GaN films exhibit slower etch rates than GaN films with higher dislocation and stacking fault density.  相似文献   

13.
The Mo-based metal inserted poly-Si stack (MIPS) structure is an appropriate choice for metal gate and high-k integration in sub-45 nm gate-first CMOS device. A novel metal nitride layer of TaN or AlN with high thermal stability has been introduced between Mo and poly-Si as a barrier material to avoid any reaction of Mo during poly-Si deposition. After Mo-based MIPS structure is successfully prepared, dry etching of poly-Si/TaN/Mo gate stack is studied in detail. The three-step plasma etching using the Cl2/HBr chemistry without soft landing step has been developed to attain a vertical poly-Si profile and a reliable etch-stop on the TaN/Mo metal gate. For the etching of TaN/Mo gate stack, two methods using BCl3/Cl2/O2/Ar plasma are presented to get both vertical profile and smooth etched surface, and they are critical to get high selectivity to high-k dielectric and Si substrate. In addition, adding a little SF6 to the BCl3/O2/Ar plasma under the optimized conditions is also found to be effective to smoothly etch the TaN/Mo gate stack with vertical profile.  相似文献   

14.
We have investigated the etching of SiC using inductively-coupled-plasma reactive ion etching with SF6-based and Cl2-based gas mixtures. Etch rates have been investigated as functions of bias voltage, ICP coil power, and chamber pressure. It will be shown, for the first time, that SiC surfaces etched in Cl2-based plasmas yield better surface electrical characteristics than those etched in SF6-based plasmas. We have also achieved SiC etch rates in excess of 1 μm/min which are suitable for micro-machining and via-hole applications. Through via-holes obtained in 140 μm thick SiC at an effective etch rate of 824 nm/min have been achieved. To the best of our knowledge, to date, this is the highest effective etch rate for a through via-hole etched with a masking process compatible with microelectronic fabrication.  相似文献   

15.
Aerosol deposition (AD)-derived barium titanate (BTO) micropatterns are etched in SF6/O2/Ar plasmas using inductively coupled plasma (ICP) etching technology. The reaction mechanisms of the proposed sulfur hexafluoride on BTO thin films are verified through X-ray photoelectron spectroscopy (XPS) and static time-of-flight secondary ion mass spectrometry (ToF-SIMS) results. The exact peak positions and chemical shifts of Ba 3d, Ti 2p, O 1s, and F 1s are deduced by fitted XPS narrow-scan spectra on both the as-deposited and etched BTO surfaces. Compared to the as-deposited BTOs, the etched Ba 3d5/2, Ba 3d3/2, Ti 2p3/2, Ti 2p1/2, and O 1s peaks shift towards higher binding energy regions by amounts of 0.55, 0.45, 0.4, 0.35, and 0.76 eV, respectively. ToF-SIMS analysis is used to obtain elemental and molecular data for quantitatively studying the interaction between reactive gases and BTO. The combined use of these two techniques is to systematically investigate and analyze the sulfur hexafluoride-based BTO etching mechanisms.  相似文献   

16.
Inductively coupled SF6 plasma etching of germanium (Ge) was investigated at different inductively coupled plasma (ICP) power levels, the SF6 flow rate, and the working pressure. The etch rate of Ge increases from 1007 to 2447 nm/min as the SF6 flow rate increases from 10 to 60 sccm. Also, the etch rate of Ge increases from 265 to 1007 nm/min as the ICP power level increases from 100 to 400 W whereas the etch rate of Ge decreases from 552 to 295 nm/min as the working pressure increases from 5 to 20 mTorr. The etch profile is isotropic. As SF6 flow, ICP power and working pressure decrease the surface roughness decreases. Optical emission spectroscopy was used to examine the gas phase species in the plasma, and emission from excited atomic S and F has been identified. Composition of the surface due to SF6 plasmas has been obtained using X-ray photoelectron spectroscopy. Reaction layers on germanium due to inductively coupled SF6 plasma etching are found to be a thin, layer with of G–-S, Ge–F and Ge–O bonded species.  相似文献   

17.
In this study, we compared the C4F6 and C4F8 based plasma etching characteristics of silicon dioxide and ArF photoresist (PR) in a dual-frequency superimposed capacitively coupled plasma (DFS-CCP) etcher under different high- and low-frequency combinations (fHF/fLF), while varying the process parameters such as the dc self-bias voltage (Vdc), O2 flow, and CH2F2 flow rate in the C4F8/CH2F2/O2/Ar and C4F6/CH2F2/O2/Ar plasmas. The silicon oxide etch rates increased significantly in both chemistries with increasing fHF and O2 flow rate. The silicon oxide etch rates were higher in the C4F8/CH2F2/O2/Ar than in the C4F6/CH2F2/O2/Ar plasmas, but the PR etch rate was much higher in the C4F6/CH2F2/O2/Ar than in the C4F8/CH2F2/O2/Ar plasmas under the present experimental conditions. The slower oxide etch rate in the C4F6 based plasmas was attributed to the thicker steady-state fluorocarbon layer on the silicon oxide surface, while the faster PR etch rate in the C4F8 based plasmas was ascribed to the higher F radical density in the plasma.  相似文献   

18.
We have investigated the characteristics of Ar/O2 plasmas in terms of the photoresist (PR) and low-k material etching using a ferrite-core inductively coupled plasma (ICP) etcher. We found that the O2/(O2+ Ar) gas flow ratio significantly affected the PR etching rate and the PR to low-k material etch selectivity. Fourier transform infrared spectroscopy (FTIR) and HF dipping test indicated that the etching damage to the low-k material decreased with decreasing O2/(O2 + Ar) gas flow ratio.  相似文献   

19.
Dry etching of multilayer magnetic thin film materials is necessary for the development of sensitive magnetic field sensors and memory devices. The use of high ion density electron cyclotron resonance (ECR) plasma etching for NiFe, NiFeCo, TaN, and CrSi in SF6/Ar, CH4/H2/Ar, and Cl2/Ar plasmas was investigated as a function of microwave source power, rf chuck power, and process pressure. All of the plasma chemistries are found to provide some enhancement in etch rates relative to pure Ar ion milling, while Cl2/Ar provided the fastest etch rate for all four materials. Typical etch rates of 3000Å/min were found at high microwave source power. Etch rates of these metals were found to increase with rf chuck power and microwave source power, but to decrease with increasing pressure in SF6/Ar, CH4/H2/Ar, and Cl2/Ar. A significant issue with Cl2/Ar is that it produces significant metal-chlorine surface residues that lead to post-etch corrosion problems in NiFe and NiFeCo. However, the concentration of these residues may be significantly reduced by in-situ H2 or O2 plasma cleaning prior to removal of the samples from the etch reactor.  相似文献   

20.
Inductively Coupled Plasma etching of 4H-SiC under ultraviolet illumination was examined for SF6/Ar and Cl2/Ar chemistries. Etch rate enhancements up to a factor of 8 were observed with UV light irradiation during Cl2/Ar etching. The enhancement mechanism is related to photodesorption of SiClx and CClx species. Surface morphologies were unchanged as a result of the UV enhancement with Cl2/Ar discharges. By contrast, there was no effect of UV irradiation on the SiC etch rates in SF6/Ar plasmas, but the surfaces were typically smoother than those obtained without the ultraviolet illumination. In the SF6/Ar chemistry the rate-limiting steps are either Si-C bond-breaking or supply of fluorine radicals to the surface, and not desorption of the SiFx and CFx etch products.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号