首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
基于层次化验证平台的存储器控制器功能验证   总被引:3,自引:1,他引:2  
文中描述了一种基于层次化的验证平台存储器控制器功能验证方法.根据VMM(Verification Methodokgy Manual)验证方法学构建的一个层次性的验证平台,它易于维护并且具有很好的灵活性和可重用性.文中中的覆盖率模型是采用分类树方法构建.采用分类树方法不仅易于提取完整的被测功能点,而且可以使从规范到Sys-temVerilog语言描述的转换变得更加容易,从而大大提高了验证的效率和缩短了验证时间.该方法也同样适合于片上系统(SoC)的验证.  相似文献   

2.
本文结合处理器芯片实际项目,重点介绍了功能验证环节的工作。文章基于VMM验证平台,利用System Verilog语言自动生成测试激励,采用断言和功能覆盖率相结合的验证方法,实时监测RTL模型运行时的各种信号,自动进行覆盖率统计,通过增加约束实现覆盖率的快速收敛。文章最终给出了基于VMM验证平台进行功能验证的结果,绘制了功能覆盖率上升曲线。  相似文献   

3.
SOC验证的参考方法   总被引:3,自引:0,他引:3  
ARM与Synopsys正在联手开发一种统一方法用来进行覆盖率驱动的SOC验证。该方法在《SystemVerilog验证方法手册》(VMM SystemVerilog Verification Methodolog,Manual)书中有具体介绍,本文将说明基于SysteruVerilog的验证方法怎样使SOC设计人员和知识产权(IP)模块开发人员都同样受益。  相似文献   

4.
段承超  徐金甫 《现代电子技术》2011,34(8):127-129,132
传统的验证平台编写复杂,且难以在不同设计之间重用。采用SystemVerilog支持的VMM验证方法学,并结合带约束的随机验证和覆盖率驱动的验证技术,构建可重用验证平台,完成对UART模块的验证。与直接测试方法相比,该验证平台不仅能够有效提高验证效率,而且在模块级和系统级验证过程中,能够重用该验证平台或验证组件。  相似文献   

5.
针对USB控制器IP的功能及结构特点,并且尽可能更快地完成验证以适应上市的需求,提出了一种基于VMM验证方法学的高效验证方案。建立了一个层次化的、可重用的验证平台。利用VMM测试激励约束性随机产生的特点,提出了分层解析、分层约束的激励产生方法;利用Synopsys公司开发的验证IP(VIP):AHB总线功能模型和USB主机模型,快速构建仿真环境,模拟实际数据流的通信过程;充分发挥VIP的内部"后门"的作用,增强验证平台测试流的可控性。验证结果表明该验证平台能全面验证USB控制器IP,且性能稳定、兼容性强;通过模拟实际的工作流程,达到了优化设计、缩短验证周期的目的。此方案的一些设计思想,对系统级平台及其他模块级验证平台设计具有参考意义。  相似文献   

6.
常勇 《电子测试》2007,(5):17-20
本文介绍了用于SOC功能验证的RVM验证方法学,描述RVM验证平台的层次化结构,介绍了RVM的随机测试和基于覆盖率驱动技术的验证策略.文中以一个UART模块为例,详细说明了RVM验证平台在SOC功能验证中的应用;并对验证平台的重用性进行了阐述.  相似文献   

7.
刘蕊 《信息通信》2012,(3):286-288
针对 USB 控制器 IP 的功能及结构特点,并且尽可能更快地完成验证以适应上市的需求,提出了一种基于 VMM验证方法学的高效验证方案.建立了一个层次化的、可重用的验证平台.利用 VMM 测试激励约束性随机产生的特点,提出了分层解析、分层约束的激励产生方法;利用 Synopsys 公司开发的验证 IP(VIP):AHB 总线功能模型和 USB 主机模型,快速构建仿真环境,模拟实际数据流的通信过程;充分发挥VIP的内部“后门”的作用,增强验证平台测试流的可控性.验证结果表明该验证平台能全面验证 USB 控制器 IP,且性能稳定、兼容性强;通过模拟实际的工作流程,达到了优化设计、缩短验证周期的目的.此方案的一些设计思想,对系统级平台及其他模块级验证平台设计具有参考意义  相似文献   

8.
介绍了一种基于UVM验证方法学的SoC模块级验证平台的构建方法.该平台针对基于AMBA总线的AES硬件加速器IP的功能验证需求,采用面向对象的层次化建模方法,完成可重用AMBA通用验证化组件,参考模型以及验证事务级建模的随机化高功能覆盖率测试向量的可重用工作.该平台面向基于AMBA总线的SoC模块级验证领域实现可重用性.验证结果表明,基于随机化验证策略的验证平台在功能覆盖率收敛效率上提高了21.4%.  相似文献   

9.
本文基于中科院计算所某项目实际工作,介绍如何利用高级验证语言、验证基本库、以及成熟的验证模型,快速建立可随机产生测试向量、向量场景可调制、并具有覆盖率收集功能的验证系统。项目采用SystemVerilog语言,测试平台架构基于Synopsys公司最新的验证方法VMM,并使用DesignWare系列验证IP加快平台的建立。  相似文献   

10.
刘芳 《电子器件》2011,34(3):350-354
以UART总线接口为例介绍一种高性能验证平台.该验证平台基于SystemVerilog语言,以功能覆盖率为导向,通过带约束的随机方法产生测试激励,并具有自动检查运行结果及可重用性等特点.实践表明,与传统的验证平台相比,该平台在验证效率及功能覆盖率方面均有明显的优越性;与基于VMM搭建的验证平台相比,该平台也表现出了一定...  相似文献   

11.
袁艳  申敏 《电子测试》2008,(1):10-14,19
本文首先介绍了传统验证方法的弊端,描述了RVM验证平台的层次化结构及它的可重用性原理,主要目的是强调在现有的验证平台上为了模块得到完备性验证必须提高它的覆盖率.本文使用的方法是在基于RVM的验证平台上通过验证工程师充分理解协议之后增加测试例的构造来实现覆盖率技术的提高.然后以UART(通用异步收发信机)为实例具体说明如何提高覆盖率,最后得出结论通过这种方法可以使功能覆盖率和代码覆盖率都达到100%,提高了验证的完备性.  相似文献   

12.
刘萌  李康  马佩军  史江一 《电子器件》2011,34(3):320-323
描述了一种基于SystemVerilog的网络处理器验证平台设计.该验证平台基于VMM架构,采用SystemVerilog语言编写所需的验证组件和功能覆盖率代码,并在设计代码中插入断言(SVA),将两者结合起来,能够快速、准确的定位出网络处理器在执行过程中发生的错误,有效对其进行功能验证.  相似文献   

13.
《现代电子技术》2015,(7):144-147
基于VMM方法学设计和实现了一个随机验证环境,验证一个64位ALU。该验证环境具备一套功能完备的随机测试程序发生器,可以生成覆盖率指导的有约束的定点、浮点指令序列,调用一个由C语言实现的参考模型进行运算结果自检,并采用覆盖率收敛技术实现覆盖率快速收敛。实践结果表明,设计的随机验证环境,能够高效验证ALU的各项逻辑功能,减少测试时间,且随机测试程序生成模块可以简单移植应用于处理器其他模块的功能验证。  相似文献   

14.
本文首先介绍了VMM层次化验证方法学的基本思想和方法,将其与传统的芯片验证技术进行了对比,并进一步对基于VMM(Verification Methodology Manual For System Verilog)方法学的验证平台结构和各个组成模块进行了详细的介绍。最后以外部存储接口(EMI)模块为例对VMM验证平台的搭建进行了具体说明,并给出了验证结果。  相似文献   

15.
本文基于VMM验证平台,介绍了高速串行收发器芯片的验证方法。文章首先简要介绍了Serdes芯片和VMM验证方法,然后搭建了Serdes芯片的VMM统一验证平台,并从测试激励产生、寄存器读写控制、覆盖率自动统计、断言验证及覆盖率收敛等几个方面详细阐述了Serdes芯片的验证过程。最后给出了验证结果和测试报告。  相似文献   

16.
电子设计自动化(EDA)软件工具商Synopsys今天宣布.由ARM和Synopsys公司推出的SystemVerilog验证方法学(VMM)已被中国主要电子公司用于开发先进验证环境。Synop—sys还宣布,《SystemVerilog验证方法学》一书中文版已由中国航空航天大学出版发行。至今,本书的英文版已售出3500多本。  相似文献   

17.
ARM和Synopsys正在联手开发基于覆盖率分析的片上系统(SoC)验证的统一方法,并将发布在即将出版的双方合著的《SystemVerilog验证方法手册(VMM)》中。本文说明了这种基于SystenlVePilog的方法将是如何有益于片上系统(SoC)设计者和类似的知识产权(IP)开发商。  相似文献   

18.
基于eRM建立自动化的验证平台   总被引:1,自引:0,他引:1  
为了缩短SoC项目开发中前端验证的时间,实现自动化的可重用性验证环境平台,采用了eRM验证方法学,通过Sequence,BFM,Monitor,Scoreboard,Coverage等验证组件来实现此验证平台,并给出了基于此平台的一个应用实例,极大地提高了验证的效率和功能覆盖率。  相似文献   

19.
随着半导体工艺的发展,SoC芯片的规模和复杂度日益增大,传统的验证方法已经不能满足要求.本文介绍了基于SystemVerilog验证语言的形式化验证和VMM验证这两种功能验证的方法,并且结合使用这两种方法对一个UART接口模块进行了验证,在保证验证完备性的基础上,有效地提高了功能验证的效率.  相似文献   

20.
本文介绍了一种基于覆盖率驱动的双模导航芯片验证系统,该系统基于典型的UVM方法学结构,具有自动激励产生、自动结果比较及自动覆盖率收集等功能。本文应用该系统对双模导行芯片进行了完备性验证,达到了功能覆盖率100%的总体目标。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号