首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 140 毫秒
1.
XCR3256是Xilinx公司推出的CoolRunner系列CPLD器件,在数字系统设计中的应用非常广泛。本文详细分析了Cool-Runner系列CPLD的特点、结构及功能,使用VHDL语言编程实现数字逻辑,实现了水下冲击波记录仪电路的数字电路部分。由于采用该器件,简化了电路设计,减小了设备体积,同时也使设备的可靠性和设计的灵活性大大提高。  相似文献   

2.
本文通过详细介绍PLD器件在积分式A/D转换器数字控制部分的设计,说明可编程逻辑器件(PLD)主要是复杂可编程逻辑器件(CPLD)在数字逻辑系统设计中良好的移植性及稳定性。设计中使用的器件是Altera公司的Max9000系列。  相似文献   

3.
引言 PicoBlaze8位嵌人式处理器是Xilinx公司为Virtex、Spartan系列FPGA和CoolRunner—II系列CPLD设计的嵌入式处理器软核。针对不同的器件,Xilinx公司共推出了3个版本的PicoBlaze,包括KCPSM3(目标器件为Spartan3、VirtexII、VirtexIIPR0、Virtex4和Virtex5),CPLD版(目标器件为CoolRunner—II)和最新推出的KCPSM6(目标器件为Spartan6、Virtex6和7系列FPGA)。  相似文献   

4.
本文探讨了一种基于EDA(电子设计自动化)技术的周期可变、脉宽可变的脉冲发生器,具体采用的EDA技术是针对Altera公司生产的复杂可编程逻辑器件(CPLD),通过软件编程,对硬件结构和工作方式进行重构,使硬件设计如同软件设计那样。为解决CPLD器件工作控制的限制,提出了采用单片机控制CPLD器件的动态配置技术,文章分析了以INTEL公司的MCS-51单片机器件和Altera公司MAX7000系列器件为例的组合模式CPLD动态配置的原理和实现。  相似文献   

5.
相位法激光测距系统中数字鉴相器的设计   总被引:2,自引:0,他引:2  
介绍一种由复杂可编程逻辑器件(CPLD)实现的相位法激光测距用数字鉴相器的设计方案,给出原理图及部分模块的仿真结果。由于CPLD具有高速度和高带宽等优点,因此,本方案较之单片机方案,具有更高的鉴相精度。  相似文献   

6.
采用MAX Ⅱ系列CPLD器件来实现LCD控制器。由于MAX Ⅱ CPLD是唯一具有用户闪存(UFM)的CPLD,因此用一片CPLD芯片就可完成LCD全部的时序控制、显示控制等功能,这样无需再加入其它的接口器件,使微处理器和LCD显示模块之间的接口电路变得更加简洁。本文对LCD控制器的硬件及软件都给出了较详细的说明,并在EPM240ZM上实现了LCD控制器的功能。  相似文献   

7.
本文探讨了一种基于EDA(电子设计自动化)技术的周期可变、脉宽可变的脉冲发生器,具体采用的EDA技术是针对Altera公司生产的复杂可编程逻辑器件(CPLD),通过软件编程,对硬件结构和工作方式进行重构,使硬件设计如同软件设计那样.为解决CPLD器件工作控制的限制,提出了采用单片机控制CPLD器件的动态配置技术,文章分析了以INTEL公司的MCS-51单片机器件和Altera公司MAX 7000系列器件为例的组合模式CPLD动态配置的原理和实现.  相似文献   

8.
介绍了,在数字语音通信中,利用在系统可编程技术和复杂可编程逻辑器件CPLD,实现了数字语音的复接和分接;对于其中的单稳态电路的数字化和数字锁相环提取位同步信号也进行了详细的设计说明。实际应用结果表明,系统工作稳定可靠,设计是成功的。  相似文献   

9.
基于FPGA的数字频率计VHDL软件实现方法   总被引:1,自引:0,他引:1  
运用VHDL在FPGA/CPLD器件上实现一种数字频率计测频系统,分析了数字频率计软件构成结构,并对其中的测频控制信号发生器电路进行了VHDL软件编程实现。  相似文献   

10.
基于CPLD的TDI/CCD图像传感器驱动时序设计   总被引:1,自引:4,他引:1  
卢阳  陈向东 《微计算机信息》2006,22(17):227-229
提出了基于CPLD(复杂可编程逻辑器件)实现TDI/CCD(时间延时积分、电荷耦合器件)驱动电路的方法。选用Altera公司的MAX7000AE系列CPLD作为硬件设计平台,运用VHDL语言对驱动时序进行硬件描述,采用QuartusII对所设计的驱动时序发生器进行了仿真。测量与仿真结果证明是可行的。  相似文献   

11.
基于CPLD的SPI接口设计   总被引:4,自引:0,他引:4  
根据 SPI同步串行接口的通信协议 ,介绍了在 Xilinx Cool Runnct XPLA3CPLD中利用 VHDL语言实现 SPI接口的设计原理和编程思想 ,通过用此接口 ,使得那些没有 SPI接口功能的微处理器和微控制器 ,也能通过 SPI接口与外围设备进行数据交换。  相似文献   

12.
采集控制终端是数字化变电站系统中的过程层设备,主要实现一次设备与二次设备的隔离,并完成对传统互感器和一次开关设备的数字化控制,以达到数字化变电站对一次设备的要求。本文主要阐述了采集控制终端的软硬件设计。该设计采用DSP+CPLD核心板,开发相应设备,嵌入式实现;采用模块化设计思想,便于检修及系统升级,增加了系统的可靠性和灵活性。  相似文献   

13.
本文讨论了一种新的脉冲信号的数字设计方法-比较法,该方法通过对信号频率、占空比、余数的比较来产生频率、占空比均步进可调的脉冲信号。实验表明,该方法是行之有效的。本文着重介绍了其CPLD设计原理和实现方法,同时介绍了以CPLD为数字处理核心、在PC机的控制下实现脉冲信号产生的系统设计方案。  相似文献   

14.
基于CPLD的数字PWM信号发生器的设计   总被引:2,自引:2,他引:2  
滕旭东  王弘辉  傅友登 《微计算机信息》2007,23(20):178-179,219
提出一种基于CPLD(复杂可编程逻辑器件)的数字脉宽调制波形发生器的设计.文中详细介绍以计数器为中心的PWM波形产生原理和设计过程,并给出数字PWM信号发生器的应用实例.测试结果表明,基于CPLD的数字脉宽调制波形具有频率稳定性好、死区时间可控,结构简单和调整灵活等特点,易于实现智能控制.  相似文献   

15.
本文讨论了一种新的脉冲信号的数字设计方法4/比较法,该方法通过对信号频率、占空比、余数的比较来产生频率、占空比均步进可调的脉冲信号。实验表明,该方法是行之有效的。本文着重介绍了其CPLD设计原理和实现方法,同时介绍了以CPLD为数字处理核心、在PC机的控制下实现脉冲信号产生的系统设计方案。  相似文献   

16.
AES3或其他串行格式的数字音频信号一般被直接送往发声设备播放,无须存储,然而在一些特殊的应用场合,必须对接收到的数字音频数据先进行存储,分析,并作相应的处理。有一种合适的硬件电路设计方案就是利用CPLD器件对串行数据进行收集,再以并行的方式存放于存储设备当中,并通过PCI总线的方式与计算机通信,以便计算机对接收到的数据进行分析和处理。  相似文献   

17.
用VHDL语言设计交通灯控制系统,并在MAX+PLUSII系统对FPGA/CPLD芯片进行下载,由于生成的是集成化的数字电路,没有传统设计中的接线问题,所以故障率低、可靠性高,而且体积小。体现了EDA技术在数字电路设计中的优越性。  相似文献   

18.
高豫强 《微计算机信息》2007,23(1Z):217-219
主要介绍了一种基于TMS320F206 DSP和CPLD的专用数字信号通信及信号调理板的设计及实现,利用DSP和CPLD实现对信号及存储器及信号的控制。实践表明,该方案速度快,可靠性高,满足实用性及有效性。  相似文献   

19.
为满足对航空机载设备多通道ARINC429总线现场检测的需要,以FPGA为主处理器,以CPLD为协处理器,以HS-3282/3182为ARINC429总线协议芯片,以触摸屏作为人机接口,设计了一种便携式多通道ARINC429总线检测装置.给出该装置的内部结构框图、各模块硬件电路设计及其软件流程框图,并开发出该装置触摸屏的测试软件.最后针对机载电路板件,对装置功能进行测试验证.测试结果表明,本装置操作简便,性能稳定可靠,可应用于带有ARINC429总线信号收发功能的机载电路板件的维修测试中.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号