首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
N-type metal-oxide-semiconductor field-effect transistor (MOSFET) with an equivalent oxide thickness (EOT) of 0.37 nm has been demonstrated with La2O3 as a gate dielectric for the first time. Despite the existence of parasitic capacitances at gate electrode and inversion layer in the channel, a sufficient drain current increment in both linear and saturation regions have been observed, while scaling the gate oxide from 0.48 to 0.37 nm in EOT. Therefore, continuous scaling of EOT below 0.5 nm is still effective for further improvement in device performance.  相似文献   

2.
The evolution of the leakage current in high-K lanthanum oxide films in MOS devices caused by the application of progressive electrical stress is investigated. The degradation method consists in performing successive voltage sweeps using an ever increasing voltage range with the aim of generating incremental damage to the structure in a controlled manner. We show that the total current flowing through the device can be thought of as formed by two parallel components, one associated with the tunneling mechanism and the other one associated with diode-like conduction. This latter component evolves with applied stress. It is shown the importance of considering series and parallel resistances in order to account for the right shape of the conduction characteristics. Analytical expressions for both current contributions suitable for all stages of degradation and bias conditions are provided.  相似文献   

3.
The impact of various rapid thermal annealing used during the integration on the La2O3/HfO2 and HfO2/La2O3 stacks deposited by Atomic Layer deposition was analyzed. The consequences of lanthanum localization in such stacks on the evolution of the films during the rapid thermal annealing are investigated in term of morphology, crystalline structure, silicate formation and film homogeneity as a function of the depth. It appeared that the La2O3 location has an impact on the temperature of the quadratic phase formation which could be linked to the formation of SiOHfLa silicate and the resistance of the films to dissolution in HF 0.05 wt%.  相似文献   

4.
We have investigated the electrical characteristics of Al2 O3 and AlTiOx MIM capacitors from the IF (100 KHz) to RF (20 GHz) frequency range. Record high capacitance density of 0.5 and 1.0 μF/cm2 are obtained for Al2 O3 and AlTiOx MIM capacitors, respectively, and the fabrication process is compatible to existing VLSI backend integration. However, the AlTiOx MIM capacitor has very large capacitance reduction at increasing frequencies. In contrast, good device integrity has been obtained for the Al2O3 MIM capacitor as evidenced from the small frequency dependence, low leakage current, good reliability, small temperature coefficient, and low loss tangent  相似文献   

5.
Low-frequency noise was characterized in Si0.7Ge0.3 surface channel pMOSFETs with ALD Al2O3/HfO2/Al2O3 stacks as gate dielectrics. The influences of surface treatment prior to ALD processing and thickness of the Al2O3 layer at the channel interface were investigated. The noise was of the 1/f type and could be modeled as a sum of a Hooge mobility fluctuation noise component and a number fluctuation noise component. Mobility fluctuation noise dominated the 1/f noise in strong inversion, but the number fluctuation noise component, mainly originating from traps in HfO2, also contributed closer to threshold and in weak inversion. The number fluctuation noise component was negligibly small in a device with a 2 nm thick Al2O3 layer at the SiGe channel interface, which reduced the average 1/f noise by a factor of two and decreased the device-to-device variations.  相似文献   

6.
We have characterized the capacitance and loss tangent for high-k Al2O3 and AlTiOx gate dielectrics from IF (100 KHz) to RF (20 GHz) frequency range. Nearly the same rate of capacitance reduction as SiO2 was demonstrated individually by the proposed Al2O3 and AlTiOx gate dielectrics as frequency was increased. Moreover, both dielectrics preserve the higher k better than SiO2 from 100 KHz to 20 GHz. These results suggest that both Al2O3 and AlTiOx are suitable for next generation MOSFET application into RF frequency regime  相似文献   

7.
Capacitors with ultra-thin (6.0-12.0 nm) CVD Ta2O5 film were fabricated on lightly doped Si substrates and their leakage current (Ig-Vg) and capacitance (C-V) characteristics were studied. For the first time, samples with stack equivalent oxide thickness around 2.0 nm were compared with ultra-thin silicon dioxide and silicon oxynitride. The Ta2O5 samples showed remarkably lower leakage current, which not only verified the advantages of ultra-thin Ta2O5 as dielectrics for high density DRAM's, but also suggested the possibility of its application as the gate dielectric material in MOSFET's  相似文献   

8.
The impact of gate leakage current on MOSFET performance is examined and limits on gate oxide thickness for static and dynamic logic are determined. Leakage current has been found to be a greater problem for static logic than for dynamic logic circuits. Gate leakage current limits the minimum oxide thickness to approximately 2 nm for static logic configurations, and to approximately 3 nm in dynamic logic circuits. A poor drain design can become a limiting factor for dynamic logic circuits and raise the minimum oxide thickness required. Switching delay of static logic is relatively immune to the effects of leakage current. A MISFET with a 2.6 nm thick gate insulator of Si3N 4 has been fabricated showing typical drain current characteristics, but with a large amount of gate leakage current  相似文献   

9.
High-k gate dielectric La2O3 thin films have been deposited on Si(1 0 0) substrates by molecular beam epitaxy (MBE). Al/La2O3/Si metal-oxide–semiconductor capacitor structures were fabricated and measured. A leakage current of 3 × 10−9 A/cm2 and dielectric constant between 20 and 25 has been measured for samples having an equivalent oxide thickness (EOT) 2.2 nm. The estimated interface state density Dit is around 1 × 1011 eV−1 cm−2. EOT and flat-band voltage were calculated using the NCSU CVC program. The chemical composition of the La2O3 films was measured using X-ray photoelectron spectrometry and Rutherford backscattering. Current density vs. voltage curves show that the La2O3 films have a leakage current several orders of magnitude lower than SiO2 at the same EOT. Thin La2O3 layers survive anneals of up to 900 °C for 30 s with no degradation in electrical properties.  相似文献   

10.
Advances in lithography and thinner SiO2 gate oxides have enabled the scaling of MOS technologies to sub-0.25-μm feature size. High dielectric constant materials, such as Ta2O5 , have been suggested as a substitute for SiO2 as the gate material beyond tox≈25 Å. However, the Si-Ta 2O5 material system suffers from unacceptable levels of bulk fixed charge, high density of interface trap states, and low silicon interface carrier mobility. In this paper we present a solution to these issues through a novel synthesis of a thermally grown SiO2(10 Å)-Ta2O5 (MOCVD-50 Å)-SiO2 (LPCVD-5 Å) stacked dielectric. Transistors fabricated using this stacked gate dielectric exhibit excellent subthreshold behaviour, saturation characteristics, and drive currents  相似文献   

11.
In this paper, we show that the capacitance–voltage linearity of MIM structures can be enhanced using SrTiO3 (STO)/Y2O3 dielectric bilayers. The C(V) linearity is significantly improved by combining two dielectric materials with opposite permittivity-voltage responses. Three STO/Y2O3 stacks with different thicknesses were realized and compared to a 20 nm STO single layer structure. We observed that an increase in the Y2O3 thickness leads to an improvement in the voltage linearity, while maintaining an overall capacitance density greater than 10 fF/μm2.  相似文献   

12.
We have developed a single transistor ferroelectric memory using stack gate PZT/Al2O3 structure. For the same ~40 Å dielectric thickness, the PZT/Al2O3/Si gate dielectric has much better C-V characteristics and larger threshold voltage shift than those of PZT/SiO2/Si. Besides, the ferroelectric MOSFET also shows a large output current difference between programmed on state and erased off state. The <100 us erase time is much faster than that of flash memory where the switching time is limited by erase time  相似文献   

13.
GaN MIS diodes were demonstrated utilizing AlN and Ga2O3(Gd2O3) as insulators. A 345 Å of AlN was grown on the MOCVD grown n-GaN in a MOMBE system using trimethylamine alane as Al precursor and nitrogen generated from a SVT RF N2 plasma. For the Ga2O3(Gd2O3) growth, a multi-MBE chamber was used and a 195 Å oxide was E-beam evaporated from a single crystal source of Ga5Gd3O12. The forward breakdown voltage of AlN and Ga2O3(Gd2O3) diodes are 5 and 6 V, respectively, which are significantly improved over 1.2 V from that of a Schottky contact. From the C–V measurements, both kinds of diodes showed good charge modulation from accumulation to depletion at different frequencies. The insulator/GaN interface roughness and the thickness of the insulator were measured with X-ray reflectivity.  相似文献   

14.
In this paper, we report our recent study of the effect of RuO2 as an alternative top electrode for pMOS devices to overcome the serious problems of polysilicon (poly-Si) gate depletion, high gate resistance and dopant penetration in the trend of down to 50 nm devices and beyond. The conductive oxide RuO2, prepared by RF sputtering, was investigated as the gate electrode on the Laser MBE (LMBE) fabricated HfO2 for pMOS devices. Structural, dielectric and electric properties were investigated. RuO2/HfO2/n-Si capacitors showed negligible flatband voltage shift (<10 mV), very strong breakdown strength (>10 MV cm−1). Compared to the SiO2 dielectric with the same EOT value, RuO2/HfO2/n-Si capacitors exhibited at least 4 orders of leakage current density reduction. The work function value of the RuO2 top electrode was calculated to be about 5.0 eV by two methods, and the effective fixed oxide charge density was determined to be 3.3 × 1012 cm−2. All the results above indicate that RuO2 is a promising alternative gate electrode for LMBE grown HfO2 gate dielectrics.  相似文献   

15.
Electrical and reliability properties of ultrathin La2O 3 gate dielectric have been investigated. The measured capacitance of 33 Å La2O3 gate dielectric is 7.2 μF/cm2 that gives an effective K value of 27 and an equivalent oxide thickness of 4.8 Å. Good dielectric integrity is evidenced from the low leakage current density of 0.06 A/cm2 at -1 V, high effective breakdown field of 13.5 MV/cm, low interface-trap density of 3×1010 eV-1/cm2, and excellent reliability with more than 10 years lifetime even at 2 V bias. In addition to high K, these dielectric properties are very close to conventional thermal SiO2   相似文献   

16.
The effect of La2O3 incorporation on the spatial trap distribution in HfO2 gate dielectrics is investigated. The incorporation of La2O3 in HfO2 dielectric has been found to improve the effective mobility in addition to reduced interface-state density. The trap distribution analysis in the HfO2 layer extracted by combining the charge pumping (CP) method and the low-frequency noise (LFN) method has revealed significant reduction in the amount of traps at HfO2/SiO2-interlayer interface and in the HfO2 layer by La2O3 incorporation.  相似文献   

17.
The use of aluminum oxide as the gate insulator for low temperature (600°C) polycrystalline SiGe thin-film transistors (TFTs) has been studied. The aluminum oxide was sputtered from a pure aluminum target using a reactive N2O plasma. The composition of the deposited aluminum oxide was found to be almost stoichiometric (i.e., Al2O3), with a very small fraction of nitrogen incorporation. Even without any hydrogen passivation, good TFT performance was measured an devices with 50-nm-thick Al2O3 gate dielectric layers. Typically, a field effect mobility of 47 cm2/Vs, a threshold voltage of 3 V, a subthreshold slope of 0.44 V/decade, and an on/off ratio above 3×105 at a drain voltage of 0.1 V can be obtained. These results indicate that the direct interface between the Al2 O3 and the SiGe channel layer is sufficiently passivated to make Al2O3 a better alternative to grown or deposited SiO2 for SiGe field effect devices  相似文献   

18.
The authors report the application of rapid thermal processing (RTP) to the fabrication of ultrathin (~10 nm) high-quality fluorinated oxides in O2+NF3 (100 ppm diluted in N2). NF3 was used as the F source gas and was introduced either prior to rapid thermal oxidation (RTO) or with O2 during the initial stage of RTO. The oxidation rate was enhanced because of the presence of NF3. In addition, F depth profiles in fluorinated oxides were dependent upon the process conditions. The electrical characteristics of MOS capacitors have been studied and correlated with the chemical properties. The initial interface state density (Dt) was found to decrease with F incorporation. The results suggest that the interfacial F incorporation plays a major role in determining the interface hardness for both hot-electron and radiation damages  相似文献   

19.
In this work we combine charge-pumping measurements with positive constant voltage stress to investigate trap generation in SiO2/Al2O3 n-MOSFET. Trap density has been scanned either in energy or in position based on charge-pumping (CP) measurements performed under different operating conditions in terms of amplitude and frequency of the gate pulse. Our results have revealed that the traps are meanly localized shallow in energy level, deeper in spatial position and they are mostly generated near the Si/SiO2 interface.  相似文献   

20.
The structural and electrical characteristics of a novel nanolaminate Al2O3/ZrO2/Al2O3 high-k gate stack together with the interfacial layer (IL) formed on SiGe-on-insulator (SGOI) substrate have been investigated. A clear layered Al2O3 (2.5 nm)/ZrO2 (4.5 nm)/Al2O3 (2.5 nm) structure and an IL (2.5 nm) are observed by high-resolution transmission electron microscopy. X-ray photoelectron spectroscopy measurements indicate that the IL contains Al-silicate without Ge atom incorporation. A well-behaved CV behavior with no hysteresis shows the absence of Ge pileup or Ge segregation at the gate stack/SiGe interface.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号