首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Passivation of GaAs surfaces was achieved by the deposition of Ge3N4 dielectric films at low temperatures. Electrical characteristics of MIS devices were measured to determine the interface parameters. From C-V-f and G-V-f measurements, density of interface states has been obtained as (4–6)×1011 cm−2 eV−1 at the semiconductor mid-gap. Some inversion charge buildup was seen in the C-V plot although the strong inversion regime is absent. Thermally stimulated current measurements indicate a trap density of 5×1018−1019 cm−3 in the dielectric film, with their energy level at 0.59 eV.  相似文献   

2.
28Si+ implantation into Mg-doped GaN, followed by thermal annealing in N2 was performed to achieve n+-GaN layers. The carrier concentrations of the films changed from 3×1017 (p-type) to 5×1019 cm−3 (n-type) when the Si-implanted p-type GaN was properly annealed. Specific contact resistance (ρc) of Ti/Al/Pt/Au Ohmic contact to n-GaN, formed by 28Si+ implantation into p-type GaN, was also evaluated by transmission line model. It was found that we could achieve a ρc value as low as 1.5×10−6 Ω cm2 when the metal contact was alloyed in N2 ambience at 600 °C. Si-implanted GaN p–n junction light-emitting diodes were also fabricated. Electroluminescence measurements showed that two emission peaks at around 385 and 420 nm were observed, which could be attributed to the near band-edge transition and donor-to-acceptor transition, respectively.  相似文献   

3.
Ohmic contacts for GaAs devices   总被引:1,自引:0,他引:1  
Contact alloys were developed for use on a wide variety of GaAs devices such as high temperature transistors and Gunn oscillators. The alloys are composed of silver, indium and germanium for n-type GaAs and of silver, indium and zinc for p-type GaAs. Fabrication steps that require temperatures of up to 770°K for already contacted devices can be performed. GaAs transistors can be operated over a range from 20 to 770°K using Ag-In-Ge contacts for emitter and collector and Ag-In-Zn contacts for the base. Gunn oscillators have been built for the frequency range between 13 and 26 GHz with efficiencies as high as 3 percent at 15.8 GHz and as high as 1 percent at 25 GHz in continuous wave operation. A simple technique was developed to evaluate the specific contact resistance on thin epitaxial layers. Specific contact resistance is well below 10−4 ω-cm2 on 0.1 ω-cm or lower resistivity p- or n-type GaAs. The highest value was 1 × 10−3 ω-cm2 measured on 0.6–2.6 ω-cm n-type GaAs.  相似文献   

4.
The mixed valence material, LixNi1−xO, has been investigated as a potential thermoelectric material. Measurements of the Seebeck coefficient, (μ VC), electrical resistivity, ρ(Ω-cm), and thermal conductivity, k(W/cm°C) have been made as a function of temperature and lithium concentration. The thermoelectric figure of merit, Z(2k), reaches a value of approximately 1·4×10−4 at 1100°C for the composition Li0.04Ni0.96O.  相似文献   

5.
The electrical resistivity of TiSi2 thin films sputtered onto an oxidised Si substrate using a composite alloy target is studied. It is found that the as-deposited films show high resistivity. Annealing the films at an elevated temperature leads to a significant fall in the resistivity. An optimum sheet resistance of 2om tq−1 is obtained after annealing at 800°C for 30 min in argon ambient. The effect of annealing temperature on resistivity is studied. The sheet resistance is also found to be affected by the magnitude of the substrate bias during film deposition. The data are given. The patterning of TiSi2 thin films by wet chemical etching for device applications is described.  相似文献   

6.
We present a new ohmic contact material NiSi2 to n-type 6H-SiC with a low specific contact resistance. NiSi2 films are prepared by annealing the Ni and Si films separately deposited on (0 0 0 1)-oriented 6H-SiC substrates with carrier concentrations (n) ranging from 5.8×1016 to 2.5×1019 cm−3. The deposited films are annealed at 900 °C for 10 min in a flow of Ar gas containing 5 vol.% H2 gas. The specific contact resistance of NiSi2 contact exponentially decreases with increasing carrier concentrations of substrates. NiSi2 contacts formed on the substrates with n=2.5×1019 cm−3 show a relatively low specific contact resistance with 3.6×10−6 Ω cm2. Schottky barrier height of NiSi2 to n-type 6H-SiC is estimated to be 0.40±0.02 eV using a theoretical relationship for the carrier concentration dependence of the specific contact resistance.  相似文献   

7.
Cobalt disilicide (CoSi2) ohmic contacts possessing low specific contact resistivity (c < 3.0 ± 0.4 × 10−5 ωcm2) to n-type 6H---SiC are reported. The contacts were fabricated via sequential electron-beam evaporation of Co and Si layers followed by a two-step vacuum anealing process at 500 and 900°C. Stochiometry of the contact so formed was confirmed by Rutherford backscattering spectrometry and X-ray diffraction. Specific contact resistivities were obtained via current-voltage (I-V) analysis at temperatures ranging from 25 to 500°C. c is compared as a function of carrier concentration, current density, temperature and time at elevated temperature.  相似文献   

8.
To substitute or to supplement diffusion barrier as reducing lateral dimension of interconnects, the alloying Mg and Ru to Cu was investigated as a self-formatting barrier in terms of their resistivity, adhesion, and barrier characteristics After annealing at 400 °C for 30 min, the resistivities of the Cu–0.7 at%Mg alloy and Cu–2.2 at%Ru alloy were 2.0 μΩ cm and 2.5 μΩ cm, respectively, which are comparable to that of Cu films. The adhesion was investigated by means of a sandwiched structure using the four point bending test. The interfacial debonding energy, which represents the adhesion, of Cu–Mg/SiO2 was over 5.0 J/m2, while those of the Cu–Ru/SiO2 and Cu/SiO2 interfaces were 2.2 J/m2 and 2.4 J/m2, respectively. The barrier characteristics of the alloy films were also investigated by the time-dependent dielectric breakdown test, using a metal–oxide–semiconductor structure, under bias-temperature stress. It was shown that the alloying of Mg made the lifetime seven times longer, as opposed to the alloying of Ru which made it shorter.  相似文献   

9.
Thin (3000–5000Å) low pressure chemically vapor deposited (LPCVD) films of polycrystalline silicon suitable for microelectronics applications have been deposited from silane at 600°C and at a pressure of 0.25 Torr. The films were phosphorus implanted at 150 KeV and electrically characterized with the annealing conditions and film thickness as parameters, over a resistivity range of four orders of magnitude (103–107Ω/□). Annealing during silox deposition was found to result in a lower film resistivity than annealing done in nitrogen atmosphere. Resistivity measurements as a function of temperature indicate that the electrical activation energy is a linear function of 1/N(N is the doping concentration), changing from 0.056 eV for a doping concentration of 8.9 × 1018 cm−3 to 0.310 eV for doping concentration of 3.3 × 1018 cm−3. The grain boundary trap density was found to have a logarithmically decreasing dependence on the polysilicon thickness, decreasing from 1.3 × 1013 cm−2 for 2850Å polysilicon film to 8.3 × 1012 cm−2 for 4500Å polysilicon film.  相似文献   

10.
High quality nanolaminate stacks consisting of five Al2O3-HfTiO layers with an effective dielectric constant of about 22.5 are reported. A dielectric constant for binary HfTiO thick films of about 83 was also demonstrated. The electrical characteristics of as-deposited structures and ones which were annealed in an O2 atmosphere at up to 950 degC for 5-10 min were investigated. Two types of gate electrodes: Pt and Ti were compared. The dielectric stack which was annealed up to 500 degC exhibits a leakage current density as small as ~1times10-4 A/cm2 at an electric of field 1.5 MV/cm for a quantum-mechanical corrected equivalent oxide thickness of ~0.76 nm. These values change to ~1times10-8 A/cm2 and 1.82 nm, respectively, after annealing at 950 degC  相似文献   

11.
Hydrogen as 2H was incorporated into ScAlMgO4 by both ion implantation and by exposure to a plasma at 250°C. In the implanted material diffusion begins at 500°C and most of the hydrogen is lost by ≤ 750°C. This thermal stability for hydrogen retention is considerably lower than for other substrate materials for GaN epilayer growth, such as Al2O3 and SiC. There is minimal permeation of 2H from a plasma at 250°C (DH ≤ 5 × 10−16 cm2 s−1) in ScAlMgO4, and thus unintentional hydrogen incorporation into GaN overlayers should be minimal at typical growth temperatures.  相似文献   

12.
Transport properties of Mn-doped ruthenium silicide Ru2Si3 were studied both experimentally and theoretically. The precipitation-free Ru2Si3 single crystals were grown by the zone melting technique with radiation heating. The temperature dependence of the electrical resistivity and Hall coefficients of the crystals were measured. The electrical resistivity of 1% Mn-doped Ru2Si3 was lower than that of undoped crystals. The carrier concentration in the doped samples is about 1018 cm−3 at room temperature. Mn-doped Ru2Si3 has a twice higher carrier mobility compared to the undoped one. Theoretical calculation of the charge carrier mobility is based on the effective masses which are estimated from the ab initio electronic band structure and classical scattering mechanisms.  相似文献   

13.
Currently, large-area 3C–SiC films are available from a number of sources and it is imperative that stable high temperature contacts be developed for high power devices on these films. By comparing the existing data in the literature, we demonstrate that the contact behavior on each of the different polytypes of SiC will vary significantly. In particular, we demonstrate this for 6H–SiC and 3C–SiC. The interface slope parameter, S, which is a measure of the Fermi-level pinning in each system varies between 0.4–0.5 on 6H–SiC, while it is 0.6 on 3C–SiC. This implies that the barrier heights of contacts to 3C–SiC will vary more significantly with the choice of metal than for 6H–SiC. Aluminum, nickel and tungsten were deposited on 3C–SiC films and their specific contact resistance measured using the circular TLM method. High temperature measurements (up to 400°C) were performed to determine the behavior of these contacts at operational temperatures. Aluminum was used primarily as a baseline for comparison since it melts at 660°C and cannot be used for very high temperature contacts. The specific contact resistance (ρc) for nickel at room temperature was 5×10−4 Ω cm2, but increased with temperature to a value of 1.5×10−3 Ω cm2 at 400°C. Tungsten had a higher room temperature ρc of 2×10−3 Ω cm2, which remained relatively constant with increasing temperature up to 400°C. This is related to the fact that there is hardly any reaction between tungsten and silicon carbide even up to 900°C, whereas nickel almost completely reacts with SiC by that temperature. Contact resistance measurements were also performed on samples that were annealed at 500°C.  相似文献   

14.
Zn0.52Se0.48/Si Schottky diodes are fabricated by depositing zinc selenide (Zn0.52Se0.48) thin films onto Si(1 0 0) substrates by vacuum evaporation technique. Rutherford backscattering spectrometry (RBS) analysis shows that the deposited films are nearly stoichiometric in nature. X-ray diffractogram of the films reveals the preferential orientation of the films along (1 1 1) direction. Structural parameters such as crystallite size (D), dislocation density (δ), strain (ε), and the lattice parameter are calculated as 29.13 nm, 1.187 × 10−15 lin/m2, 1.354 × 10−3 lin−2 m−4 and 5.676 × 10−10 m respectively. From the IV measurements on the Zn0.52Se0.48/p-Si Schottky diodes, ideality and diode rectification factors are evaluated, as 1.749 (305 K) and 1.04 × 104 (305 K) respectively. The built-in potential, effective carrier concentration (NA) and barrier height were also evaluated from CV measurement, which are found to be 1.02 V, 5.907 × 1015 cm−3 and 1.359 eV respectively.  相似文献   

15.
An instability was found to be associated with +BT stress for P + poly-gated NMOSFETs (PNMOS) and PMOSFETs (PPMOS), but not with the N+ poly-gated devices (NNMOS and NPMOS). The instability with the P+ poly-gated devices, which is a decrease in threshold voltage (Vt) and an increase in interface state density (Dit), was significantly reduced following N2 annealing at 400°C. It is shown that adequate reliability for P+ poly-gated devices can be achieved for VLSI technologies  相似文献   

16.
A direct measurement of the dynamics of electrons in the X6 valley for a GaAs crystal by time-resolved absorption spectroscopy is reported for the first time. IR picosecond probe pulses were used to monitor the growth and decay of the population in the X6 valley subsequent to excitation by a 527 nm pump pulse. The intervalley X6→Γ6, L6 scattering time tx of 0.70 ± 0.50 ps is determined and the crossection for the X6→X7 transition is estimated to be 1.8 × 10−16 cm2.  相似文献   

17.
Formation of MoSi2by rapid isothermal annealing has been investigated using black-body radiation from a graphite heater. Although elemental Mo layers deposited on 3 in diameter silicon wafers failed to form silicides after annealing, excellent results were obtained using co-sputtered Mo and Si on similar substrates. It was found that regrowth began within the first few seconds at 900-1000°C, and a 20 sec anneal time at 1000°C reduces sheet resistivity by one order of magnitude from as-deposited values. This method of annealing might thus offer a practical solution to low-resistivity silicide formation in very large-scale integrated-circuit devices, without the significant dopant redistribution observed in furnace annealing.  相似文献   

18.
A new method which can nondestructively measure the surface-state density (SSD) Ds and estimate the capture cross-sections (CCS) of surface state σ0n and σp on surface of p-type semiconductor crystals is proposed. This method is based on the photovoltage measurements at various temperatures. The photovoltage experiment was carried out with a (1 1 1) p-type Si single crystal (NA=4.8×1014 cm −3). Owing to that the surface barrier height φBP=0.6421 V and the surface-recombination velocity sn=9.6×103 cm s−1 of this sample can be determined, the SSD Ds=1.2×1011 cm−2 eV−1 can therefore be obtained, furthermore CCS σ0n≈5×10−14 cm2 and σp≈2×10−10 cm2 can also be estimated. These results are consistent with that of related reports obtained by other methods.  相似文献   

19.
A novel 2-bit nano-silicon based non-volatile memory is proposed to double memory density. The thin film structure exhibits two conduction states (ON and OFF) at different voltages and has a cost-effective structure. The structure utilizes the good electrical properties of fluorinated SiO2 thin films, together with the bi-stable properties conferred by the nano-silicon particles therein embedded. A polymeric layer of 8-hydroxyquinoline aluminum salt (Alq3) further deposited on the top of the nano-particle layer through chemical evaporation and a silver paste contact determines the final structure. The positive 0–15 V scan reveals two discontinuities with an ON/OFF ratio of 104–105 (2–4 V) and OFF/ON of 103 (12.5–13.0 V). The reverse scan displays again two distinct thresholds, range of 10.5–11.0 V (ON/OFF ratio 10−3), respectively, 0.5 V (OFF/ON ratio 10−5–10−4).  相似文献   

20.
The dielectric constant and the leakage current density of (Ba, Sr)TiO3 (BST) thin films deposited on various bottom electrode materials (Pt, Ir, IrO2/Ir, Ru, RuO2/Ru) before and after annealing in O2 ambient were investigated. The improvement of crystallinity of BST films deposited on various bottom electrodes was observed after the postannealing process. The dielectric constant and leakage current of the films mere also strongly dependent on the postannealing conditions. BST thin film deposited on Ir bottom electrode at 500°C, after 700°C annealing in O2 for 20 min, has the dielectric constant of 593, a loss tangent of 0.019 at 100 kHz, a leakage current density of 1.9×10 -8 A/cm2 at an electric field of 200 kV/cm with a delay time of 30 s, and a charge storage density of 53 fC/μm2 at an applied field of 100 kV/cm. The BST films deposited on Ir with post-annealing can obtain better dielectric properties than on other bottom electrodes in our experiments. And Ru electrode is unstable because the interdiffusion of Ru and Ti occurs at the interface between the BST and Ru after postannealing. The ten year lifetime of time-dependent dielectric breakdown (TDDB) studies indicate that BST on Pt, Ir, IrO2/Ir, Ru, and RuO2/Ru have long lifetimes over ten gears on operation at the voltage bias of 2 V  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号