首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
The authors report on a highly reliable stacked storage capacitor with ultrahigh capacitance using rapid-thermal-annealed low-pressure chemical vapor deposited (LPCVD) Ta2O5 films (~100 Å) deposited on NH3-nitrided rugged poly-Si electrodes. Capacitances as high as 20.4 fF/μ2 (corresponding to the thinnest tox.eff (16.9 Å) ever reported using LPCVD-Ta2O5 and poly-Si technologies) have been achieved with excellent leakage current and time-dependent dielectric breakdown (TDDB) characteristics. Extensive electrical characterization over a wide temperature range (~25-300°C) shows that Ta2O 5 films on rugged poly-Si electrodes have a better temperature stability in dielectric leakage and breakdown compared to the films on smooth poly-Si electrodes  相似文献   

2.
The dielectric constant and the leakage current density of (Ba, Sr)TiO3 (BST) thin films deposited on various bottom electrode materials (Pt, Ir, IrO2/Ir, Ru, RuO2/Ru) before and after annealing in O2 ambient were investigated. The improvement of crystallinity of BST films deposited on various bottom electrodes was observed after the postannealing process. The dielectric constant and leakage current of the films mere also strongly dependent on the postannealing conditions. BST thin film deposited on Ir bottom electrode at 500°C, after 700°C annealing in O2 for 20 min, has the dielectric constant of 593, a loss tangent of 0.019 at 100 kHz, a leakage current density of 1.9×10 -8 A/cm2 at an electric field of 200 kV/cm with a delay time of 30 s, and a charge storage density of 53 fC/μm2 at an applied field of 100 kV/cm. The BST films deposited on Ir with post-annealing can obtain better dielectric properties than on other bottom electrodes in our experiments. And Ru electrode is unstable because the interdiffusion of Ru and Ti occurs at the interface between the BST and Ru after postannealing. The ten year lifetime of time-dependent dielectric breakdown (TDDB) studies indicate that BST on Pt, Ir, IrO2/Ir, Ru, and RuO2/Ru have long lifetimes over ten gears on operation at the voltage bias of 2 V  相似文献   

3.
A novel capacitor process was successfully implemented in 4 Mb FRAM device by developing a barrier layer rounded by Si3N4 spacer (BRS) scheme. Using this process, it is possible to eliminate an undesired barrier etching damage, which is a major role in degrading ferroelectric properties. The novel capacitor process was generated by etching an Ir barrier layer and rounding the barrier by a Si3N4 spacer before preparing Pb(Zr 1-xTix)O3 (PZT) films. It was observed that uniform sol-gel derived PZT films were prepared on the patterned Ir substrate by using Si3N4 spacer, which provides a smooth edge of the patterned cell. The contact resistance between bottom electrode and polysilicon plug after full integration was monitored below 700 Ω per contact with contact size 0.6×0.6 (μm2). Compared to the ferroelectric capacitor damaged by barrier etching, the novel Pb(Zr1-xTix)O3 (PZT) capacitor exhibited a well-saturated Q-V curve. The fully processed novel capacitor having 1.2×1.2 (μm2) effective area displayed remnant polarization of 14 (μC/cm2) at an operating voltage of 3.0 V. The BRS ferroelectric capacitor showed a reliable retention property until 100 h at 125°C. Same state retention (Qss) was stable with time up to 100 h while opposite state retention (Qos) showed a log-linear decay rate at 125°C thermal stress  相似文献   

4.
An advanced three-dimensionally (3-D) stacked-capacitor cell, the spread-vertical-capacitor cell (SVC), was developed. SVC realized a storage capacitance (Cs) of 30 fF with a cell area of 1.8 μm2, a capacitor height of 0.37 μm, and an equivalent SiO2 film thickness of 7 nm for oxide-nitride-oxide (ONO). By extrapolating these results to 256-Mb DRAMs, a Cs of 24 fF is obtained with a cell area of 0.5 μm2, a capacitor height of 0.4 μm, and an equivalent SiO2 thickness of 5 nm, and these values satisfy the specifications for 256-Mb DRAMs. The low capacitor height of SVC makes possible a fabrication process using ArF excimer laser lithography  相似文献   

5.
原子层沉积(ALD)方法可以制备出高质量薄膜,被认为是可应用于柔性有机电致发光器件(OLED)最有发展前景的薄膜封装技术之一。本文采用原子层沉积(ALD)技术,在低温(80℃)下,研究了Al2O3及TiO2薄膜的生长规律,通过钙膜水汽透过率(WVTR)、薄膜接触角测试等手段,研究了不同堆叠结构的多层Al2O3/TiO2复合封装薄膜的水汽阻隔特性,其中5 nm/5 nm×8 dyads(重复堆叠次数)的Al2O3/TiO2叠层结构薄膜的WVTR达到2.1×10-5 g/m2/day。采用优化后的Al2O3/TiO2叠层结构薄膜对OLED器件进行封装,实验发现封装后的OLED器件在高温高湿条件下展现了较好的寿命特性。  相似文献   

6.
We have proposed heterojunction thin-film transistors having a stacked structure of poly-crystal silicon-carbon (SiCx) and Si thin films, both of which are prepared by an excimer-laser crystallization method. A Si/SiCx interface after intense excimer-laser irradiation for crystallization, was as abrupt as that of the as-deposited and amorphous structure. The device had a relatively high mobility of about 4 cm2/Vs and a sufficiently low leakage current of an order of 10-14 A/μm even under intense light illumination conditions  相似文献   

7.
A fully-dry cleaning technique with Ar/H2 Electron Cyclotron Resonance (ECR) plasma was developed as a low contact resistance metallization technology for gigabit scale DRAM contacts. By combining with ECR TiN/Ti-CVD, extremely low contact resistances of 296 Ω and 350 Ω for 0.3-μm contact diameter with aspect ratio of 7 were realized on n+ and p+ diffusion layers, respectively. No leakage current was observed. By using this technology, a DRAM ULSI, which was planarized by Chemical Mechanical Polishing (CMP) and had deep contact holes with aspect ratio of 6, was successfully demonstrated  相似文献   

8.
A capacitor technology developed to obtain extremely thin Ta2 O5 dielectric film with an effective SiO2 film thickness down to 3 nm (equivalent to 11 fF/μm2) for a 1.5-V, low-power, high-density, 64-Mb DRAM is discussed. The Ta2 O5 has low leakage current, low defect density, and excellent step coverage. The key process is two-step annealing after the deposition of the film by thermal chemical vapor deposition (CVD). The first step involves ozone (O3) annealing with ultraviolet light irradiation, which reduces the leakage current. The second step is dry oxygen (O2) annealing, which decreases the defect density. A more significant reduction in the leakage current is attained by the combination of the two annealing steps  相似文献   

9.
We have investigated the electrical characteristics of Al2 O3 and AlTiOx MIM capacitors from the IF (100 KHz) to RF (20 GHz) frequency range. Record high capacitance density of 0.5 and 1.0 μF/cm2 are obtained for Al2 O3 and AlTiOx MIM capacitors, respectively, and the fabrication process is compatible to existing VLSI backend integration. However, the AlTiOx MIM capacitor has very large capacitance reduction at increasing frequencies. In contrast, good device integrity has been obtained for the Al2O3 MIM capacitor as evidenced from the small frequency dependence, low leakage current, good reliability, small temperature coefficient, and low loss tangent  相似文献   

10.
Time dependent dielectric breakdown (TDDB) and stress-induced leakage current (SILC) are investigated for the reliability of (Ba,Sr)TiO3 (BST) thin films. Both time to breakdown (TBD) versus electric field (E) and TBD versus 1/E plots show universal straight lines, independent of the film thickness, and predict lifetimes longer than 10 y at +1 V for 50 nm BST films with an SiO2 equivalent thickness of 0.70 nm. SILC is observed at +1 V after electrical stress of BST films; nevertheless, 10 y reliable operation for Gbit-scale DRAMs is predicted in spite of charge loss by SILC. Lower (Ba+Sr)/Ti ratio is found to be strongly beneficial for low leakage, low SILC, long TBD, and therefore greater long-term reliability. This suggests a worthwhile tradeoff against the dielectric constant, which peaks at a (Ba+Sr)/Ti ratio of 1.05  相似文献   

11.
A self-aligned process is developed to obtain submicrometer high-performance AlGaAs/GaAs heterojunction bipolar transistors (HBTs) which can maintain a high current gain for emitter sizes on the order of 1 μm2. The major features of the process are incorporation of an AlGaAs surface passivation structure around the entire emitter-base junction periphery to reduce surface recombination and reliable removal of base metal (Ti/W) deposits from the sidewall by electron cyclotron resonance (ECR) plasma deposition of oxide and ECR plasma etching by NF3. A DC current gain of more than 30 can be obtained for HBTs with an emitter-base junction area of 0.5×2 μm2 at submilliampere collector currents. The maximum fT and fmax obtained from a 0.5×2 μm2 emitter HBT are 46 and 42 GHz, respectively at IC=1.5 and more than 20 GHz even at IC=0.1 mA  相似文献   

12.
High-reliability and good-performance stacked storage capacitors with high capacitance value of 17.8 fF/μm2 has been realized using low-pressure-oxidized thin nitride films deposited on roughened poly-Si electrodes. These novel electrodes are fabricated by H 3PO4-etching and are RCA-cleaned. The leakage current density at +2.5 and -2.5 V are 0.07×10-9 and -2.4×10-8 A/cm2, respectively, fulfilling the requirements of 256 Mb DRAM's. Weibull plots of time-dependent-dielectric-breakdown (TDDB) characteristics under constant current stress and constant voltage stress also show tight distribution and good electrical properties. Hence, this easy and simple technique is promising for future high-density DRAM's applications  相似文献   

13.
This paper describes the fabrication and characteristics of small-scaled InGaP/GaAs HBTs with high-speed as well as low-current operation. To reduce both the emitter size SE and the base-collector capacitance CBC simultaneously, the HBTs are fabricated by using WSi/Ti as the base electrode and by burying SiO2 in the extrinsic base-collector region under the base electrode. WSi/Ti simplifies and facilitates processing to fabricate a small base electrode, and makes it possible to reduce the width of the base contact to less than 0.4 μm without the large increase in the base resistance. The DC current gain of 20 is obtained for an HBT with S E of 0.3×1.6 μm2 due to the suppression of emitter size effect by using InGaP as the emitter material. An HBT with SE of 0.6×4.6 μm2 exhibited fT of 138 GHz and fmax of 275 GHz at IC of 4 mA; and an HBT with SE of 0.3×1.6 μm2 exhibited fT of 96 GHz and fmax of 197 GHz at IC of 1 mA. These results indicate the great potential of these HBTs for high-speed and low-power circuit applications  相似文献   

14.
A dielectric film technology characterized by a novel multilayer structure formed by oxidation of Ta2O5/Si3 N4 films on polysilicon has been developed to realize high-density dRAMs. The dry oxidation of the Ta2O5/Si3N4 layers was performed at temperatures higher than 900°C. This film has a capacitance per unit area from 5.5 to 6.0 fF/ μm2, which is equivalent to that of a 6.0- to 6.5-nm-thick SiO2. The leakage current at an effective electric field of 5 MV/cm is less than 10-9 A/cm2. Under such an electric field, the extrapolated time to failure for 50% cumulative failure can be as high as 1000 years  相似文献   

15.
The NH3 plasma passivation has been performed for the first time on the polycrystalline silicon (poly-Si) thin-film transistors (TFT's). It is found that the TFT's after the NH3 plasma passivation achieve better device performances, including the off-current below 0.1 pA/μm and the on/off current ratio higher than 108, and also better hot-carrier reliability as well as thermal stability than the H2-plasma devices. These improvements were attributed to not only the hydrogen passivation of the grain-boundary dangling bonds, but also the nitrogen pile-up at SiO2/poly-Si interface and the strong Si-N bond formation to terminate the dangling bonds at the grain boundaries of the polysilicon films  相似文献   

16.
A trench-capacitor DRAM cell called a half-VCC sheath-plate capacitor (HSPC) cell has been developed using 0.6-μm-process technology. It is applicable to DRAMs with capacities of 16 Mb and over. The HSPC cell achieves a storage capacitance of 51 fF in a cell area of 4.2 μm2 and excellent immunity (critical charge Qc<35 fC) against alpha-particle injection. These advantages are achieved using a half-VCC sheath-plate structure, a 5.5-nm SiO2-equivalent Si 3N4-SiO2 composite film, and three self-alignment technologies involving buried plate wiring, a sidewall contact and a pad for the bit-line contact. The device performance is evaluated using an experimental 2-kb array  相似文献   

17.
The spectroscopic properties of Ho3+ laser channels in KGd(WO4)2 crystals have been investigated using optical absorption, photoluminescence, and lifetime measurements. The radiative lifetimes of Ho3+ have been calculated through a Judd-Ofelt (JO) formalism using 300-K optical absorption results. The JO parameters obtained were Ω2=15.35×10-20 cm2, Ω 4=3.79×10-20 cm2, Ω6 =1.69×10-20 cm2. The 7-300-K lifetimes obtained in diluted (8·1018 cm-3) KGW:0.1% Ho samples are: τ(5F3)≈0.9 μs, τ( 5S2)=19-3.6 μs, and τ(5F5 )≈1.1 μs. For Ho concentrations below 1.5×1020 cm-3, multiphonon emission is the main source of non radiative losses, and the temperature independent multiphonon probability in KGW is found to follow the energy gap law τph -1(0)=βexp(-αΔE), where β=1.4×10-7 s-1, and α=1.4×103 cm. Above this holmium concentration, energy transfer between Ho impurities also contributes to the losses. The spectral distributions of the Ho3+ emission cross section σEM for several laser channels are calculated in σ- and π-polarized configurations. The peak a σEM values achieved for transitions to the 5I8 level are ≈2×10-20 cm2 in the σ-polarized configuration, and three main lasing peaks at 2.02, 2.05, and 2.07 μm are envisaged inside the 5I75I8 channel  相似文献   

18.
We fabricated an (InAs)1/(GaAs)2 short-period superlattice (SPS) strained quantum-well laser at 1.07 μm by MOVPE. The SPS active layer has 10 periods of (InAs)1/(GaAs)2 and an average mismatch of over 2.2%. In highly strained conditions the device showed a lasing wavelength of 1.07 μm, a threshold of 130 A/cm2, and a characteristic temperature T0 of 175 K. We measured the gain characteristic by the Hakki and Paoli method at LED conditions and obtained a high differential gain of 2.0×10-15 cm2 at the threshold current  相似文献   

19.
Zn0.52Se0.48/Si Schottky diodes are fabricated by depositing zinc selenide (Zn0.52Se0.48) thin films onto Si(1 0 0) substrates by vacuum evaporation technique. Rutherford backscattering spectrometry (RBS) analysis shows that the deposited films are nearly stoichiometric in nature. X-ray diffractogram of the films reveals the preferential orientation of the films along (1 1 1) direction. Structural parameters such as crystallite size (D), dislocation density (δ), strain (ε), and the lattice parameter are calculated as 29.13 nm, 1.187 × 10−15 lin/m2, 1.354 × 10−3 lin−2 m−4 and 5.676 × 10−10 m respectively. From the IV measurements on the Zn0.52Se0.48/p-Si Schottky diodes, ideality and diode rectification factors are evaluated, as 1.749 (305 K) and 1.04 × 104 (305 K) respectively. The built-in potential, effective carrier concentration (NA) and barrier height were also evaluated from CV measurement, which are found to be 1.02 V, 5.907 × 1015 cm−3 and 1.359 eV respectively.  相似文献   

20.
Fabrication of rapid thermal nitrided HSG transformed crown capacitor storage cells incorporating an ultrathin low pressure chemical vapor deposition (LPCVD) Ta2O5 and Si3N 4/SiO2(NO) dielectric is proposed. 256 Mb array with HSG crown cells of 0.3 μm diameter×0.6 μm height and 49 A Teff showed an area enhancement factor of 1.7 (relative to untransformed crown cell). Cmin/Cmax ratio of >0.95, and capacitance of 16.7 fF/cell is obtained. A measured leakage current density of 0.7 nA/cm2 at 1.2 V is reported. Metal-oxide-semiconductor capacitor (MOSCAP) devices with HSG electrodes for 1 Gb application are characterized using capacitance-voltage (C-V) and current-voltage (I-V) analyses. Detailed HSG grain characterization results are presented with correlation to the electrical behavior of the devices. Devices are formed using LPCVD Ta2O5 and/or Si3N4 dielectric. HSG films formed from 4×1020 atoms/cc phosphorus doped amorphous silicon show depletion in C-V behavior. It is shown that phosphine doping of HSG film is required to avoid depletion. Process selectivity of the UHV/CVD HSG transformation mechanism applied to thermal oxide and nitride field dielectrics is fully explored. Selectivity limits for different types of dielectric are also presented. Effect of critical parameters such as a-Si dopant concentration, HSG incubation time, anneal conditions, and a-Si layer thickness on HSG transformation are discussed for 1 Gb crown cells  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号