首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Inductively coupled plasma reactive ion etching of titanium thin films patterned with a photoresist using Cl2/Ar gas was examined. The etch rates of the titanium thin films increased with increasing the Cl2 concentration but the etch profiles varied. In addition, the effects of the coil rf power, dc-bias voltage and gas pressure on the etch rate and etch profile were investigated. The etch rate increased with increasing coil rf power, dc-bias voltage and gas pressure. The degree of anisotropy in the etched titanium films improved with increasing coil rf power and dc-bias voltage and decreasing gas pressure. X-ray photoelectron spectroscopy revealed the formation of titanium compounds during etching, indicating that Ti films etching proceeds by a reactive ion etching mechanism.  相似文献   

2.
Do Young Lee 《Thin solid films》2009,517(14):4047-4051
Inductively coupled plasma reactive ion etching of indium zinc oxide (IZO) thin films masked with a photoresist was performed using a Cl2/Ar gas. The etch rate of the IZO thin films increased as Cl2 gas was added to Ar gas, reaching a maximum at 60% Cl2 and decreasing thereafter. The degree of anisotropy in the etch profile improved with increasing coil rf power and dc-bias voltage. Changes in pressure had little effect on the etch profile. X-ray photoelectron spectroscopy confirmed the formation of InCl3 and ZnCl2 on the etched surface. The surface morphology of the films etched at high Cl2 concentrations was smoother than that of the films etched at low Cl2 concentrations. These results suggest that the dry etching of IZO thin films in a Cl2/Ar gas occurs according to a reactive ion etching mechanism involving ion sputtering and a surface reaction.  相似文献   

3.
Dry etching of indium zinc oxide (IZO) thin films was performed using inductively coupled plasma reactive ion etching in a C2F6/Ar gas. The etch characteristics of IZO films were investigated as a function of gas concentration, coil rf power, dc-bias voltage to substrate, and gas pressure. As the C2F6 concentration was increased, the etch rate of the IZO films decreased and the degree of anisotropy in the etch profile also decreased. The etch profile was improved with increasing coil rf power and dc-bias voltage, and decreasing gas pressure. An X-ray photoelectron spectroscopy analysis confirmed the formation of InF3 and ZnF2 compounds on the etched surface due to the chemical reaction of IZO films with fluorine radicals. In addition, the film surfaces etched at different conditions were examined by atomic force microscopy. These results demonstrated that the etch mechanism of IZO thin films followed sputter etching with the assistance of chemical reaction.  相似文献   

4.
Polycrystalline diamond thin film has been grown on a silicon substrate using high pressure microwave plasma-assisted chemical vapor deposition from a gas mixture of methane and hydrogen at a substrate temperature of 950°C. A simple process flow has been developed to fabricate optically transparent polycrystalline synthetic diamond membranes/windows employing reactive ion etching (RIE) of a single crystal silicon substrate using an electron beam evaporated aluminum thin film mask pattern formed by photolithography. Scanning electron microscopy has been used to study the morphology of as-grown diamond thin films.  相似文献   

5.
C.Y. Li  A. Hatta 《Thin solid films》2007,515(9):4172-4176
Diamond nanowhiskers were fabricated by etching as-grown and aluminum coated diamond films in radio frequency (RF) Ar/O2 plasma. It was found that diamond nanowhiskers could be obtained by anisotropic etching of both kinds of films. For the as-grown diamond film, the whiskers randomly formed on the diamond surface with higher etching rate. However, for the Al-coated diamond film, an energy dispersive X-ray spectroscopy measurement revealed that the distribution of the whiskers was the same as that of the coated Al particles. During the etching process, Al particles served as masks contributing to restraining the etching of the film underneath. It was found that the distribution of the whiskers was significantly influenced by the Al coating. The whiskers (1 μm in height and 50 nm in diameter) could be obtained under the optimum etching condition. In addition, the dependence of the distribution of the whiskers on Al coating time was demonstrated.  相似文献   

6.
The etching characteristics of a LiNbO3 single crystal have been investigated using plasma reactive ion etching (RIE) with a mixture of CF4/Ar/H2. The etching rate of LiNbO3 with the mixture of CF4/Ar/H2 gases was evaluated. The etching surface was evaluated by atomic force microscopy, X-ray diffraction and X-ray photoelectron spectroscopy methods. The rate-determining process of RIE is the supply of F radicals in RIE. The surface morphology of the etched LiNbO3 changed with the increase in the H2 gas flow ratio. The surface profile became flat, on optimizing the etching conditions, similar to the surface of non-etched LiNbO3. The X-ray diffraction peak for etched LiNbO3 using the mixture of CF4 and Ar gases did not appear, because a non-crystalline layer was formed. It was found that the crystallinity of the surface is dependent on both, the flow rate of H2 gas and the etching time. F atoms exist in the contamination layer of the sample etched, using the mixture of CF4, Ar and H2 gases. Optimum etching conditions, considering both the surface flatness and the crystallinity, were determined.  相似文献   

7.
The etching characteristics of a LiNbO3 single crystal have been investigated using plasma reactive ion etching (RIE) with a mixture of CF4/Ar/H2. The etching rate of LiNbO3 with the mixture of CF4/Ar/H2 gases was evaluated. The etching surface was evaluated by atomic force microscopy, X-ray diffraction and X-ray photoelectron spectroscopy methods. The rate-determining process of RIE is the supply of F radicals in RIE. The surface morphology of the etched LiNbO3 changed with the increase in the H2 gas flow ratio. The surface profile became flat, on optimizing the etching conditions, similar to the surface of non-etched LiNbO3. The X-ray diffraction peakfor etched LiNbO3 using the mixture of CF4 and Ar gases did not appear, because a non-crystalline layer was formed. It was found that the crystallinity of the surface is dependent on both, the flow rate of H2 gas and the etching time. F atoms exist in the contamination layer of the sample etched, using the mixture of CF4, Ar and H2 gases. Optimum etching conditions, considering both the surface flatness and the crystallinity, were determined.  相似文献   

8.
Reactive ion etching (RIE), employing CH4/H2/Ar plasmas, of ZnS films grown by metalorganic chemical vapor deposition (MOCVD) is reported. The etching rates are investigated as functions of the plasma parameters: pressure, RF power and relative composition of reactive gases. It is found that the amount of CH4 in a CH4/H2/Ar gas discharge will decide whether the polymer will be produced. The optimum composition of the mixed gas is 1CH4/7H2/4Ar, when the pressure, RF power and total flow rate are 30 mTorr, 245 W and 30 sccm, respectively. The etching mechanism is also proposed. The quality of the etched surfaces under these conditions is examined by X-ray photoelectron spectroscopy. It is found that the amount of overt damage is small under these etching conditions. A dot-matrix thin-film electroluminescent device employing a ZnS:Mn phosphor layer is also fabricated by this etching process.  相似文献   

9.
《Vacuum》2012,86(1):82-86
Nanocrystalline silicon thin films (nc-Si:H) were deposited using He as the dilution gas instead of H2 and the effect of the operating pressure and rf power on their characteristics was investigated. Especially, operating pressures higher than 4 Torr and a low SiH4 containing gas mixture, that is, SiH4(3 sccm)/He(500 sccm) were used to induce high pressure depletion (HPD) conditions. Increasing the operating pressure decreased the deposition rate, however at pressures higher than 6 Torr, crystallized silicon thin films could be obtained at an rf power of 100 W. The deposition of highly crystallized nc-Si:H thin film was related to the HPD conditions, where the damage is decreased through the decrease in the bombardment energy at the high pressure and the crystallization of the deposited silicon thin film is increased through the increased hydrogen content in the plasma caused by the depletion of SiH4. When the rf power was set at a fixed operating pressure of 6 Torr, HPD conditions were obtained in the rf power range from 80 to 100 W, which was high enough to dissociate SiH4 fully, but meantime low enough not to damage the surface by ion bombardment. At 6 Torr of operating pressure and 100 W of rf power, the nc-Si:H having the crystallization volume fraction of 67% could be obtained with the deposition rate of 0.28 nm/s.  相似文献   

10.
Nanocrystalline silicon thin films (nc-Si:H) were deposited using He as the dilution gas instead of H2 and the effect of the operating pressure and rf power on their characteristics was investigated. Especially, operating pressures higher than 4 Torr and a low SiH4 containing gas mixture, that is, SiH4(3 sccm)/He(500 sccm) were used to induce high pressure depletion (HPD) conditions. Increasing the operating pressure decreased the deposition rate, however at pressures higher than 6 Torr, crystallized silicon thin films could be obtained at an rf power of 100 W. The deposition of highly crystallized nc-Si:H thin film was related to the HPD conditions, where the damage is decreased through the decrease in the bombardment energy at the high pressure and the crystallization of the deposited silicon thin film is increased through the increased hydrogen content in the plasma caused by the depletion of SiH4. When the rf power was set at a fixed operating pressure of 6 Torr, HPD conditions were obtained in the rf power range from 80 to 100 W, which was high enough to dissociate SiH4 fully, but meantime low enough not to damage the surface by ion bombardment. At 6 Torr of operating pressure and 100 W of rf power, the nc-Si:H having the crystallization volume fraction of 67% could be obtained with the deposition rate of 0.28 nm/s.  相似文献   

11.
Indium tin oxide (ITO) films were deposited onto p-type Si wafers with radio frequency (r.f.) magnetron sputtering. The effect of the silicon surface treatment with reactive ion etching (RIE) on the current–voltage (I–V) and capacitance–voltage (C–V) characteristics of the ITO/Si junction are investigated. When the Si substrate is etched by RIE prior to the deposition of ITO film, the I–V characteristics of the ITO/p-Si junction transfer from an ohmic contact for the unetched-Si to a rectifying contact for the etched Si. In addition, the barrier height, ideality factor, and series resistance increase with increasing etching power. This is attributed to the net positive ion charge and defects on the damaged surface. Thermal annealing can eliminate the damage caused by RIE. The I–V curves of ITO/etched p-Si become more ohmic as samples are annealed in N2 at 300 °C. Secondary ion mass spectroscopy (SIMS) depth profiles indicate that some impurity defects migrate and/or disappear after post-etching annealing. © 1998 Chapman & Hall  相似文献   

12.
In this study, we investigated the etching characteristics of indium tin oxide (ITO) thin films at CF4/Ar plasma. The maximum etch rate of 29.8 nm/min for the ITO thin films was obtained at CF4/Ar (=80/20) gas mixing ratio. The standard conditions were the RF power of 800 W, the DC-bias voltage of −150 V, the process pressure of 2 Pa, and the substrate temperature of 40 °C. Corresponding to these etching conditions, chemical reaction of the etched ITO surface has been studied by X-ray photoelectron spectroscopy measurement to investigate the chemical reactions between the surfaces of ITO thin film and etch species. The preferential losses on the etched surfaces were investigated using atomic force microscopy.  相似文献   

13.
Stacked silicon nitride films for use in manufacturing of surface micromachined membranes were deposited using custom made plasma-enhanced chemical vapor deposition instrument with silane (SiH4) and ammonia (NH3) gas mixture as deposition precursor. Deposition conditions were adjusted by varying substrate temperature and SiH4 to NH3 flow ratio and temperature to obtain the required stress related and electrical properties of the membranes. Transmission Fourier transformed infrared spectroscopy and scanning electron microscopy were used to investigate the chemical composition and morphology of the stacked film components. An increase in the SiH4 to NH3 flow ratio and a decrease in temperature resulted in a silicon-rich silicon nitride film, as well as an increased silicon oxide concentration. To avoid underetch and sidewall defects, the plasma power density during the plasma etching was changed from 0.5 W/cm2 during the etching of both top and bottom layers in a stacked film, to 1.0 W/cm2 during the etching of the middle both silicon and silicon oxide rich film. This resulted in an improved overall stacked film sidewall quality and reduced the unwanted underetch.  相似文献   

14.
Low refractive index materials which F-doped SiOC:H films were deposited on Si wafer and glass substrate by low temperature plasma enhanced chemical vapor deposition (PECVD) method as a function of rf powers, substrate temperatures, gas flow ratios (SiH4, CF4 and N2O). The refractive index of the F-doped SiOC:H film continuously decreased with increasing deposition temperature and rf power. As the N2O gas flow rate decreases, the refractive index of the deposited films decreased down to 1.378, reaching a minimum value at an rf power of 180 W and 100 °C without flowing N2O gas. The fluorine content of F-doped SiOC:H film increased from 1.9 at.% to 2.4 at.% as the rf power was increased from 60 W to 180 W, which is consistent with the decreasing trend of refractive index. The rms (root-mean-square) surface roughness significantly decreased to 0.6 nm with the optimized process condition without flowing N2O gas.  相似文献   

15.
The effects of various pulse reversal plating parameters on the grain size and smoothness of Ni film on silver seed layers has been studied. The duty cycle, frequency, bath temperature and agitation methods have been tested. The objective was to form a thin continuous hard etch mask (20-30 nm of thickness) of Ni films for image reversal of thin film resist using electroplating. While nickel sulfamate solution without additives or brighteners has been used to plate Ni films, reactive ion etching (RIE) has been used to test the durability of the plated Ni films in fluorine plasma. It was found that pulse reversal plating with current intensity of 12 mA/cm2, duty cycle of 90%, bath temperature of 45 °C, ultrasonic agitation of power 80 W, and 400 kHz wave frequency resulted in a plating rate as low as 0.2 nm/s. This plating rate made the control of the film thickness an easy task to achieve. This yielded to a smooth plated surface free from defects or voids, with 25 nm film thickness. Combining electron beam lithography with pulse reversal plating for image reversal and RIE offers the prospect of patterning patterns with the desired aspect ratio. Holes of 100 nm diameter, 250 nm period, and 300 nm depth are achieved using this process.  相似文献   

16.
In this study, we monitored the HfAlO3 etch rate and selectivity to SiO2 as a function of the etch parameters (gas mixing ratio, RF power, DC-bias voltage, and process pressure). A maximum etch rate of 52.6 nm/min was achieved in the 30% BCl3/(BCl3 + Ar) plasma. The etch selectivity of HfAlO3 to SiO2 reached 1.4. As the RF power and the DC-bias voltage increased, the etch rate of the HfAlO3 thin film increased. As the process pressure decreased, the etch rate of the HfAlO3 thin films increased. The chemical state of the etched surfaces was investigated by X-ray Photoelectron Spectroscopy (XPS). According to the results, the etching of HfAlO3 thin films follows the ion-assisted chemical etching mechanism.  相似文献   

17.
Etch characteristics of L10 FePt thin films masked with TiN films were investigated using an inductively coupled plasma (ICP) reactive ion etching in a CH3OH/Ar plasma. As the CH3OH gas was added to Ar, the etch rates of FePt thin films and TiN hard mask gradually decreased, and the etch profile of FePt films improved with high degree of anisotropy. With increasing ICP rf power and dc-bias voltage to substrate and decreasing gas pressure, the etch rate increased and the etch profile becomes vertical without any redepositions or etch residues. Based on the etch characteristics and surface analysis of the films by X-ray photoelectron spectroscopy, it can be concluded that the etch mechanism of FePt thin films in a CH3OH/Ar gas does not follow the reactive ion etch mechanism but the chemically assisted sputter etching mechanism, due to the chemical reaction of FePt film with CH3OH gas.  相似文献   

18.
Carbon nitride (CNx) thin films were deposited by radio frequency plasma enhanced chemical vapour deposition (rf PECVD) technique from a gas mixture of methane (CH4), hydrogen (H2) and nitrogen (N2). The effects of rf power on the structural properties of CNx thin films were discussed in this paper. It was found that rf power had significant effects on the growth rate, structural and morphological properties of the deposited films. The point of transition of the growth rate trend marked the equilibrium condition for primary and secondary reactions in growth kinetics of the film with respect to rf power. The films grown at this optimum rf power were most ordered in structure with high surface roughness and had the lowest N incorporation. This work showed that H etching effects and ion bombardment effects increase with increase in rf power and strongly influenced the structure of the CNx films.  相似文献   

19.
An inductively coupled plasma reactive ion etching of IrMn magnetic thin films patterned with Ti hard mask was studied in a CH3OH/Ar gas mix. As the CH3OH concentration increased, the etch rates of IrMn thin films and Ti hard mask decreased, while the etch profiles improved with high degree of anisotropy. The effects of coil rf power, dc-bias voltage to substrate and gas pressure on the etch characteristics were investigated. The etch rate increased and the etch profile improved with increasing coil rf power, dc-bias voltage and decreasing gas pressure. X-ray photoelectron spectroscopy revealed that the chemical reaction between IrMn films and CH3OH gas occurred, leading to the clean and good etch profile with high degree of anisotropy of 90°.  相似文献   

20.
Inductively coupled plasma reactive ion etching of CoFeB magnetic thin films patterned with Ti hard mask was studied in a CH3OH/Ar gas mix. As the CH3OH concentration increased, the etch rates of CoFeB thin films and Ti hard mask decreased but the etch profiles improved with high degree of anisotropy. The effects of coil rf power, dc-bias voltage and gas pressure on the etch characteristics were investigated. The etch rate increased with increasing coil rf power, dc-bias voltage and decreasing gas pressure. The degree of anisotropy in the etch profile of CoFeB films improved with increasing coil rf power and dc-bias voltage. X-ray photoelectron spectroscopy revealed that the chemical compounds containing Co and Fe components were formed during the etching. However, it was expected that the formation of these compounds could not increase the etch rates of the films due to low volatile compounds despite the improvement in etch profile.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号