首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Jinsu Yoo 《Thin solid films》2007,515(19):7611-7614
Hydrogenated films of silicon nitride (SiNx:H) is commonly used as an antireflection coating as well as passivation layer in crystalline silicon solar cell. SiNx:H films deposited at different conditions in Plasma Enhanced Chemical Vapor Deposition (PECVD) reactor were investigated by varying annealing condition in infrared (IR) heated belt furnace to find the optimized condition for the application in silicon solar cells. By varying the gases ratio (R = NH3/SiH4 + NH3) during deposition, the SiNx:H films of refractive indices 1.85-2.45 were obtained. Despite the poor deposition rate, the silicon wafer with SiNx:H film deposited at 450 °C showed the best effective minority carrier lifetime. The film deposited with the gases ratio of 0.57 shows the best peak of carrier lifetime at the annealing temperature of 800 °C. The single crystalline silicon solar cells fabricated in conventional industrial production line applying the optimized film deposition and annealing conditions on large area substrates (125 mm × 125 mm) were found to have the conversion efficiencies as high as 17.05 %. Low cost and high efficiency single crystalline silicon solar cells fabrication sequence employed in this study has also been reported in this paper.  相似文献   

2.
Y. Xin  Y. Shi  Z.X. Huang  R. Zhang 《Thin solid films》2008,516(6):1130-1136
In this paper, hydrogenated amorphous silicon nitride (a-SiNx:H) films have been deposited using an electron cyclotron resonance chemical vapor deposition system. The effect of NH3 flow rate R on the deposition rate, structure and luminescence were studied using various techniques such as optical emission spectroscopy, Fourier Transform Infrared absorption (FTIR), X-ray photoelectron spectroscopy (XPS) and fluoro-spectroscopy, respectively. Optical emission behavior of SiH4 + NH3 plasma shows that atomic Si radical concentration determines the film deposition rate. Structural transition of a-SiNx film from Si-rich one to near-stoichiometric/N-rich one with R was revealed by FTIR and the two phase separation of a-Si and a-Si3N4 was also convinced in Si-rich SiNx films by XPS. Either photo- or electroluminescence for all the SiNx films with R > 3 sccm shows a strong light emission in visible light wavelength range. As R < 6 sccm, recombination of electrons and holes in a-Si quantum dots is the main mechanism of photo/electroluminescence for Si-rich SiNx films, however, for photoluminescence, gap states' luminescence is also in competition; as R > 6 sccm, light emission of the SiNx film originates from defect states in its band gap.  相似文献   

3.
Wanyu Ding  Jun Xu  Xinlu Deng 《Thin solid films》2010,518(8):2077-5323
Hydrogen-free amorphous silicon nitride (SiNx) films were deposited at room temperature by microwave electron cyclotron resonance plasma-enhanced unbalance magnetron sputtering. Varying the N2 flow rate, SiNx films with different properties were obtained. Characterization by Fourier-transform infrared spectrometry revealed the presence of Si-N and Si-O bonds in the films. Growth rates from 1.0 to 4.8 nm/min were determined by surface profiler. Optical emission spectroscopy showed the N element in plasma mainly existed as N+ species and N2+ species with 2 and 20 sccm N2 flow rate, respectively. With these results, the chemical composition and the mechanical properties of SiNx films strongly depended on the state of N element in plasma, which in turn was controlled by N2 flow rate. Finally, the film deposited with 2 sccm N2 flow rate showed no visible marks after immersed in etchant [6.7% Ce(NH4)2(NO3)6 and 93.3% H2O by weight] for 22 h and wear test for 20 min, respectively.  相似文献   

4.
The apparent fracture toughness for a series of plasma enhanced chemical vapor deposition SiNx:H films with intrinsic film stress ranging from 300 MPa tensile to 1 GPa compressive was measured using nanoindentation. The nanoindentation results show the measured fracture toughness for these films can vary from as high as > 8 MPa⋅√m for films in compression to as low as < 0.5 MPa⋅√m for the films in tension. Other film properties such as density, Young's modulus, and hydrogen content were also measured and not observed to correlate as strongly with the measured fracture toughness values. Various theoretical corrections proposed to account for the presence of intrinsic or residual stresses in nanoindent fracture toughness measurements were evaluated and found to severely underestimate the impact of intrinsic stresses at thicknesses ≤ 3 μm. However, regression analysis indicated a simple linear correlation between the apparent fracture toughness and intrinsic film stress. Based on this linear trend, a stress free/intrinsic fracture toughness of 1.8 ± 0.7 MPa⋅√m was determined for the SiNx:H films.  相似文献   

5.
Visible electroluminescence (EL) has been obtained from devices with active layers of silicon nanocrystals embedded in chlorinated silicon nitride (Si-nc/SiNx:Cl) thin films, deposited by remote plasma enhanced chemical vapour deposition, using SiCl4/NH3/H2/Ar. The active nc-Si/SiNx:Cl film was sandwiched between Al contacts and a transparent conductive contact of ZnOx:Al deposited by the pyrosol process. White EL centred at around 600 nm was observed, with a turn-on voltage of 5 V, and the intensity increasing as a function of voltage. Recombination between electron-hole pairs generated in the Si-nc by electron impact ionization is proposed as the EL mechanism.  相似文献   

6.
Yohei Ogawa 《Thin solid films》2008,516(5):611-614
Silicon oxynitride (SiOxNy) films have been formed by adding proper amount of oxygen gas to usual forming condition of silicon nitride (SiNx) films in catalytic chemical vapor deposition (Cat-CVD) method. The composition and refractive index of the film can be systematically controlled by changing oxygen flow rate. Organic light-emitting diodes (OLEDs) covered with SiNx/SiOxNy stacked films have been completely protected from damage due to oxygen and moisture and their initial emission intensity is maintained over 1000 hours under 60 °C and 90% RH, which is equivalent to 50 000 hours in normal temperature and humidity conditions.  相似文献   

7.
This study is aimed at improving the characteristics of silicon nitride (SiNx) film deposited by catalytic chemical vapor deposition (Cat-CVD) method. Cat-CVD method can deposit SiNx films that have low hydrogen content and high density at low temperature without any plasma damage to substrates. Usually silane (SiH4) and ammonia (NH3) are used for source gases. Then adding hydrogen (H2) gas to source gases makes characteristics of Cat-CVD SiNx improved. When using H2 gas, hydrogen content in SiNx film becomes lower and electronic reliability becomes higher.  相似文献   

8.
The mechanical properties and fracture behavior of silicon nitride (SiNx) thin film fabricated by plasma-enhanced chemical vapor deposition is reported. Plane-strain moduli, prestresses, and fracture strengths of silicon nitride thin films deposited both on a bare Si substrate and on a thermally oxidized Si substrate were extracted using bulge testing combined with a refined load-deflection model of long rectangular membranes. The plane-strain moduli and prestresses of SiNx thin films have little dependence on the substrates, that is, for the bare Si substrate, they are 133 ± 19 GPa and 178 ± 22 MPa, respectively, while for the thermally oxidized substrate, they are 140 ± 26 GPa and 194 ± 34 MPa, respectively. However, the fracture strength values of SiNx films grown on the two substrates are quite different, i.e., 1.53 ± 0.33 GPa and 3.08 ± 0.79 GPa for the bare Si substrate and the oxidized Si substrate, respectively. The reference stresses were computed by integrating the local stress of the membrane at the fracture over the edge, surface, and volume of the specimens and fitted with the Weibull distribution function. For SiNx thin film produced on the bare Si substrate, the volume integration gave a significantly better agreement between data and model, implying that the volume flaws are the dominant fracture origin. For SiNx thin film grown on the oxidized Si substrate, the fit quality of surface and edge integration was significantly better than the volume integration, and the dominant surface and edge flaws could be caused by buffered HF attacking the SiNx layer during SiO2 removal.  相似文献   

9.
A barrier structure consisting of SiOx and SiNx films was deposited on the polymer substrate at 80 °C via plasma-enhanced chemical vapor deposition (PECVD). However, the low radius of curvature (Rc) of the barrier-coated substrate may cause the inconvenience of the following fabrication processes. By depositing a 150 nm-SiNx film, the Rc of the barrier-coated polycarbonate (PC) substrate can increase from 80 to 115 mm without inducing any cracks in the barrier structure. Furthermore, the thermal stress of the barrier structure can be adjusted via extending the PECVD process duration in the chamber and replacing PC by the polyethersulone (PES) substrate. The Rc can increase to ∼356 mm by depositing the 150 nm-SiNx film on the other side of the PES substrate. Finally, the calcium test result of the barrier films/PES/SiNx sample was calculated to be around 3.05 × 10−6 g/m2/day, representing that the barrier structure did not fail after modification.  相似文献   

10.
We investigated amorphous silicon carbide (a-SiC:H) thin films deposited by plasma-enhanced chemical vapor deposition (PECVD) as protective coatings for harsh environment applications. The influence of the deposition parameters on the film properties was studied. Stoichiometric films with a low tensile stress after annealing (< 50 MPa) were obtained with optimized parameters. The stability of a protective coating consisting of a PECVD amorphous silicon oxide layer (a-SiOx) and of an a-SiC:H layer was investigated through various aging experiments including annealing at high temperatures, autoclave testing and temperature cycling in air/water vapor environment. A platinum-based high-temperature metallization scheme deposited on oxidized Si substrates was used as a test vehicle. The a-SiOx/a-SiC:H stack showed the best performance when compared to standard passivation materials as amorphous silicon oxide or silicon nitride coatings.  相似文献   

11.
S.H. Tsai 《Thin solid films》2009,518(5):1480-1576
Multilayered CrAlN and SiNx films were deposited periodically by radio frequency reactive magnetron sputtering. In the CrAlN/SiNx multilayered coatings, the thickness of CrAlN layer was fixed at 4 nm, while that of SiNx layer was adjusted from 4 nm to 0.3 nm. The dependence of the SiNx layer thickness on the preferred orientation, crystalline behavior and mechanical properties of multilayered coatings were discussed with the aid of XRD patterns and HRTEM. It was demonstrated that amorphous SiNx layer transformed to a crystallized one when the thickness decreased from 4 nm to 0.3 nm. The crystalline SiNx layer grew epitaxially, formed the coherent interface with the CrAlN layer, and the columnar structure was exhibited. The critical layer thickness for the transition from amorphous SiNx to a crystallized one was found to be around 0.4 nm, and maximum hardness of 33 GPa was revealed.  相似文献   

12.
J.H. Lee 《Thin solid films》2006,515(3):917-921
SiOxNy thin films were deposited by inductively coupled plasma enhanced chemical vapor deposition (ICP-PECVD) using hexamethyldisilazane (HMDS, 99.9%)/NH3/O2/Ar at a low temperature, and examined for use as a water vapor diffusion barrier. The film characteristics were investigated as a function of the O2:NH3 ratio. An increase in the O2:NH3 ratio decreased the level of impurities such as -CHx, N-H in the film through a reaction with oxygen. Thereby, a more transparent and harder film was obtained. In addition, an increase in the O2:NH3 ratio decreased the nitrogen content in the film resulting in a more SiO2-like SiOxNy film. Using SiOxNy fabricated with an O2:NH3 ratio of 1:1, a multilayer thin film consisting of multiple layers of SiOxNy/parylene layers was formed on a polyethersulfone (PES, 200 μm) substrate, and its water vapor transmittance rate (WVTR) was investigated. A WVTR < 0.005 g/(m2 day) applicable to organic thin film transistors or organic light emitting diodes was obtained using a multilayer composed of SiOxNy (260 nm)/parylene (< 1.2 μm) on the PES.  相似文献   

13.
M. Bedjaoui  B. Despax 《Thin solid films》2010,518(15):4142-4149
Films prepared by radiofrequency pulsed plasma enhanced chemical vapor deposition from a mixture of silane (SiH4) and nitrous oxide (N2O) were studied. Variation of operating conditions (flow rate, deposition temperature ...) resulted in films with chemical compositions changing from hydrogenated silicon oxynitride (SiOxNy:H) to silicon oxide (SiOx:H). Infrared and Rutherford backscattering spectroscopy studies of the as-deposited films revealed different SiOx arrangements disturbed by Si-N bonds and H-Si ≡ Si(3 − x)Ox clusters depending on the substrate temperature and the N2O/SiH4 ratio. For films obtained using low N2O/SiH4 rations and annealed at temperature higher than 1273 K, Raman spectroscopy and microscopy analyses revealed the presence of silicon nanocrystals embedded in a matrix containing Si, O, and N. Spectroscopic ellipsometry revealed the presence of silicon nanocrystals along with two other amorphous phases (SiOxNy and SiO2) in annealed samples. The electrical characteristics of annealed films obtained from capacitance-voltage measurements indicated a stable charge trapping in ultra-thin SiOxNy layers. These preliminary results suggest that Si-nc containing silicon oxynitride layers can be potential candidates to be used in the floating gate fabrication of memory devices.  相似文献   

14.
15.
Sk.F. Ahmed  D. Banerjee 《Vacuum》2010,84(6):837-842
Optical properties of fluorine doped diamond-like carbon (F:DLC) films deposited by the direct current plasma enhanced chemical vapor deposition (PECVD) technique were studied in detail. Surface morphologies of the F:DLC films were studied by an atomic force microscope, which indicated surface roughness increased with increase in at.% of F in the films. The chemical binding was investigated by X-ray photoelectron spectroscopic studies. Fourier transformed infrared spectroscopic studies depicted the presence of CFx (x = 1,2,3) and CHn (n = 1,2) bonding within the F:DLC films. Optical transparency and the optical band gap decreased with the fluorine incorporation in the DLC film. Optical band gap calculated from the transmittance spectra decreased from 2.60 to 1.95 eV with a variation of 0-14.8 at.% of F concentration in the diamond-like carbon films. Urbach parameter determined from the band tail of the transmittance spectra showed that it increased with the doping concentration.  相似文献   

16.
The n-type doped silicon thin films were deposited by plasma enhanced chemical vapor deposition (PECVD) technique at high and low H2 dilutions. High H2 dilution resulted in n+ nanocrystalline silicon films (n+ nc-Si:H) with the lower resistivity (ρ ∼0.7 Ω cm) compared to that of doped amorphous silicon films (∼900 Ω cm) grown at low H2 dilution. The change of the lateral ρ of n+ nc-Si:H films was measured by reducing the film thickness via gradual reactive ion etching. The ρ values rise below a critical film thickness, indicating the presence of the disordered and less conductive incubation layer. The 45 nm thick n+ nc-Si:H films were deposited in the nc-Si:H thin film transistor (TFT) at different RF powers, and the optimum RF power for the lowest resistivity (∼92 Ω cm) and incubation layer was determined. On the other hand, several deposition parameters of PECVD grown amorphous silicon nitride (a-SiNx:H) thin films were changed to optimize low leakage current through the TFT gate dielectric. Increase in NH3/SiH4 gas flow ratio was found to improve the insulating property and to change the optical/structural characteristics of a-SiNx:H film. Having lowest leakage currents, two a-SiNx:H films with NH3/SiH4 ratios of ∼19 and ∼28 were used as a gate dielectric in nc-Si:H TFTs. The TFT deposited with the NH3/SiH4∼19 ratio showed higher device performance than the TFT containing a-SiNx:H with the NH3/SiH4∼28 ratio. This was correlated with the N−H/Si−H bond concentration ratio optimized for the TFT application.  相似文献   

17.
Hydrogenated silicon-rich nitride (SRN) films of various stoichiometry (SiNx:H, 0.7 < x ≤ 1.3) were deposited on single-crystalline Si substrates with the use of plasma enhanced chemical vapor deposition at a temperature of 100 °С. Furnace annealing for 5 h in ambient Ar at 1130 °С under atmospheric and enhanced hydrostatic pressure (HP — 11 kbar, 1.1 GPa) was applied to modify the structure of the films. The properties of as-deposited and annealed films were studied using ellipsometry, Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, photoluminescence (PL), as well as high-resolution transmittance electron microscopy (HRTEM). According to the Raman data, the as-deposited film, in which the stoichiometry parameter x is below 1.0, contains amorphous silicon nanoclusters. Furnace annealing leads to crystallization of the nanoclusters. From the HRTEM and Raman data, the average size of the Si nanocrystals in the annealed films was 6-7 nm. No silicon nanoclusters were observed in the as-deposited films with relatively low concentration of excessive silicon atoms (the case of SiNx:H, x > 1); furnace annealing leads to segregation of the Si and Si3N4 phases, so, the amorphous Si clusters were observed in annealed films according to Raman data. Surprisingly, after annealing with such high thermal budget, according to the FTIR data, the SRN film with parameter x close to that of the stoichiometric silicon nitride contains hydrogen in the form of SiH bonds. From analysis of the FTIR data of the SiN bond vibrations one can conclude that silicon nitride is partly crystallized in the films with x > 1 after annealing for 5 h. No influence of HP on the structure of Si nanoclusters was observed in the case of SRN films with x ≤ 1.1. Dramatic changes in the PL spectra of the SRN films with the x parameter close to that of the stoichiometric silicon nitride (x ≈ 1.3), annealed under atmospheric pressure and HP, were observed. HP stimulates the formation of very small hydrogenated amorphous nanoclusters. The size of amorphous Si nanoclusters determined from the quantum size effect model describing the PL spectra, should be 2-4 nm in this case.  相似文献   

18.
By using a sputter-assisted chemical vapor deposition (CVD) of supermagnetron plasma, amorphous CNx:H films were deposited on the lower part of two parallel electrodes. By applying rf power to the upper electrode (UPRF) at 5 W to 800 W, polymer-like a-CNx:H films were deposited on substrates placed on the lower electrode with an rf power (LORF) of 10 W. The deposition rate increased as UPRF increased. The hardness was as low as about 6.5 GPa, which is less than that of glass (13.1 GPa). The refractive index changed only slightly as UPRF changed from 1.6 to 1.75. The FT-IR spectrum showed strong absorption bands of NH and CH bonds at high and low UPRFs, respectively. The optical band gap was as large as 2.1 to 2.5, and it decreased as UPRF increased. These a-CNx:H films showed white photoluminescence (PL) with broadband. With the increase of UPRF from 5 W to 800 W, the PL peak energy shifted down from 2.3 eV to 1.9 eV.  相似文献   

19.
The paper presents investigations of the optical properties of thin high-refractive-index silicon nitride (SiNx) and diamond-like carbon (DLC) films deposited by the radio-frequency plasma-enhanced chemical vapor deposition method for applications in tuning the functional properties of optical devices working in the infrared spectral range, e.g., optical sensors, filters or resonators. The deposition technique offers the ability to control the film's optical properties and thickness on the nanometer scale. We obtained thin, high-refractive-index films of both types at deposition temperatures below 350 °C, which is acceptable under the thermal budget of most optical devices. In the case of SiNx films, it was found that for short deposition processes (up to 5 min long) the refractive index of the film increases in parallel with its thickness (up to 50 nm), while for longer processes the refractive index becomes almost constant. For DLC films, the effect of refractive index increase was observed up to 220 nm in film thickness.  相似文献   

20.
Large area Ba1 − xSrxTiO3 (BST) thin films with x = 0.4 or x = 0.5 were deposited on 75 mm diameter Si wafers in a pulsed laser deposition (PLD) chamber enabling full-wafer device fabrication using standard lithography. The deposition conditions were re-optimized for large PLD chambers to obtain uniform film thickness, grain size, crystal structure, orientation, and dielectric properties of BST films. X-ray diffraction and microstructural analyses on the BST films grown on Pt/Au/Ti electrodes deposited on SiO2/Si wafers revealed films with (110) preferred orientation with a grain size < 100 nm. An area map of the thickness and crystal orientation of a BST film deposited on SiO2/Si wafer also showed (110) preferred orientation with a film thickness variation < 6%. Large area BST films were found to have a high dielectric tunability of 76% at an electric field of 400 kV/cm and dielectric loss tangent below 0.03 at microwave frequencies up to 20 GHz and a commutation quality factor of ~ 4200.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号