首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 281 毫秒
1.
In this work we present a detailed investigation of Si surface passivation obtained by a PECVD double dielectric layer, composed of intrinsic hydrogenated amorphous silicon-carbon (a-SiCx:H), followed by a silicon nitride (SiNx). The double layers have been deposited on p- and n-type of mono- and multi-crystalline silicon wafers. IR spectra have been carried out to evaluate the structure of a-SiCx:H layers on monocrystalline wafers. The passivation effects have been studied performing the following measurements: the photoconductance decay, to measure contactlessly the effective lifetime of passived mono and multi Si wafers; the capacitance voltage profile of Al/SiNx/Si, Al/a-SiCx:H/Si and Al/SiNx/a-SiCx:H/Si MIS structures, to estimate the field effect at the dielectric/silicon interface and individuate the passivation mechanism on silicon surfaces. It has been found that the mechanism of the surface passivation depends on the doping type of the silicon wafer. Indeed from C-V measurements it has been realized that the great amount of positive charge within the SiNx is able to promote an inversion layer if it is deposited on a-SiCx:H/Si p-type and an accumulation if it is grown on a-SiCx:H/Si n-type.  相似文献   

2.
Jinsu Yoo 《Thin solid films》2007,515(19):7611-7614
Hydrogenated films of silicon nitride (SiNx:H) is commonly used as an antireflection coating as well as passivation layer in crystalline silicon solar cell. SiNx:H films deposited at different conditions in Plasma Enhanced Chemical Vapor Deposition (PECVD) reactor were investigated by varying annealing condition in infrared (IR) heated belt furnace to find the optimized condition for the application in silicon solar cells. By varying the gases ratio (R = NH3/SiH4 + NH3) during deposition, the SiNx:H films of refractive indices 1.85-2.45 were obtained. Despite the poor deposition rate, the silicon wafer with SiNx:H film deposited at 450 °C showed the best effective minority carrier lifetime. The film deposited with the gases ratio of 0.57 shows the best peak of carrier lifetime at the annealing temperature of 800 °C. The single crystalline silicon solar cells fabricated in conventional industrial production line applying the optimized film deposition and annealing conditions on large area substrates (125 mm × 125 mm) were found to have the conversion efficiencies as high as 17.05 %. Low cost and high efficiency single crystalline silicon solar cells fabrication sequence employed in this study has also been reported in this paper.  相似文献   

3.
Y. Xin  Y. Shi  Z.X. Huang  R. Zhang 《Thin solid films》2008,516(6):1130-1136
In this paper, hydrogenated amorphous silicon nitride (a-SiNx:H) films have been deposited using an electron cyclotron resonance chemical vapor deposition system. The effect of NH3 flow rate R on the deposition rate, structure and luminescence were studied using various techniques such as optical emission spectroscopy, Fourier Transform Infrared absorption (FTIR), X-ray photoelectron spectroscopy (XPS) and fluoro-spectroscopy, respectively. Optical emission behavior of SiH4 + NH3 plasma shows that atomic Si radical concentration determines the film deposition rate. Structural transition of a-SiNx film from Si-rich one to near-stoichiometric/N-rich one with R was revealed by FTIR and the two phase separation of a-Si and a-Si3N4 was also convinced in Si-rich SiNx films by XPS. Either photo- or electroluminescence for all the SiNx films with R > 3 sccm shows a strong light emission in visible light wavelength range. As R < 6 sccm, recombination of electrons and holes in a-Si quantum dots is the main mechanism of photo/electroluminescence for Si-rich SiNx films, however, for photoluminescence, gap states' luminescence is also in competition; as R > 6 sccm, light emission of the SiNx film originates from defect states in its band gap.  相似文献   

4.
One of the most promising solution for crystalline silicon surface passivation in solar cell fabrication consists in a low temperature (< 400 °C) Plasma Enhanced Chemical Vapor Deposition of a double layer composed by intrinsic hydrogenated amorphous silicon (a-Si:H) and hydrogenated amorphous silicon nitride (SiNx). Due to the high amount of hydrogen in the gas mixture during the double layer deposition, the passivation process results particularly useful in case of multi-crystalline silicon substrates in which hydrogenation of grain boundaries is very needed. In turn the presence of hydrogen inside both amorphous layers can induce metastability effects. To evaluate these effects we have investigated the stability of the silicon surface passivation obtained by the double layer under ultraviolet light exposure. In particular we have verified that this double layer is effective to passivate both p- and n-type crystalline silicon surface by measuring minority carrier high lifetime, via photoconductance-decay. To get better inside the passivation mechanisms, strongly connected to the charge laying inside the SiNx layer, we have collected the Infrared spectra of the SiNx/a-Si:H/c-Si structures and we have monitored the capacitance-voltage profiles of Al/SiNx/a-Si:H/c-Si Metal Insulator Semiconductor structures at different stages of UltraViolet (UV) light exposure. Finally we have verified the stability of the double passivation layer applied to the front side of solar cell devices by measuring their photovoltaic parameters during the UV light exposure.  相似文献   

5.
The application of hot-wire (HW) CVD deposited silicon nitride (SiNx) as passivating anti-reflection coating on multicrystalline silicon (mc-Si) solar cells is investigated. The highest efficiency reached is 15.7% for SiNx layers with an N/Si ratio of 1.20 and a high mass density of 2.9 g/cm3. These cell efficiencies are comparable to the reference cells with optimized plasma enhanced (PE) CVD SiNx even though a very high deposition rate of 3 nm/s is used. Layer characterization showed that the N/Si ratio in the layers determines the structure of the deposited films. And since the volume concentration of Si-atoms in the deposited films is found to be independent of the N/Si ratio the structure of the films is determined by the quantity of incorporated nitrogen. It is found that the process pressure greatly enhances the efficiency of the ammonia decomposition, presumably caused by the higher partial pressure of atomic hydrogen. With this knowledge we increased the deposition rate to a very high 7 nm/s for device quality SiNx films, much faster than commercial deposition techniques offer [S. von Aichberger, Photon Int. 3 (2004) 40].  相似文献   

6.
The mechanical properties and fracture behavior of silicon nitride (SiNx) thin film fabricated by plasma-enhanced chemical vapor deposition is reported. Plane-strain moduli, prestresses, and fracture strengths of silicon nitride thin films deposited both on a bare Si substrate and on a thermally oxidized Si substrate were extracted using bulge testing combined with a refined load-deflection model of long rectangular membranes. The plane-strain moduli and prestresses of SiNx thin films have little dependence on the substrates, that is, for the bare Si substrate, they are 133 ± 19 GPa and 178 ± 22 MPa, respectively, while for the thermally oxidized substrate, they are 140 ± 26 GPa and 194 ± 34 MPa, respectively. However, the fracture strength values of SiNx films grown on the two substrates are quite different, i.e., 1.53 ± 0.33 GPa and 3.08 ± 0.79 GPa for the bare Si substrate and the oxidized Si substrate, respectively. The reference stresses were computed by integrating the local stress of the membrane at the fracture over the edge, surface, and volume of the specimens and fitted with the Weibull distribution function. For SiNx thin film produced on the bare Si substrate, the volume integration gave a significantly better agreement between data and model, implying that the volume flaws are the dominant fracture origin. For SiNx thin film grown on the oxidized Si substrate, the fit quality of surface and edge integration was significantly better than the volume integration, and the dominant surface and edge flaws could be caused by buffered HF attacking the SiNx layer during SiO2 removal.  相似文献   

7.
Silicon nitride (SiNx) is a material with many applications and can be deposited with various deposition techniques. Series of SiNx films were deposited with HWCVD, RF PECVD, MW PECVD and LF PECVD. The atomic densities are quantified using RBS and ERD. The influence of the atomic densities on the Si-N and Si-Si bond structure is studied. The density of N-N bonds is found to be negligible. New Si-N FTIR proportionality factors are determined which increase with increasing N/Si ratio from 1.2 · 1019 cm− 1 for Si rich films (N/Si = 0.2) to 2.4 · 1019 cm− 1 for N rich films (N/Si = 1.5). The peak position of the Si-H stretching mode in the FTIR spectrum is discussed using the chemical induction model. It is shown that especially for Si-rich films the hydrogen content affects the Si-H peak position. The influence of the composition on the refractive index of the films is discussed on the basis of the Lorentz-Lorenz equation and the Kramers-Kronig relation. The decreasing refractive index with increasing N/Si ratio is primarily caused by an increase of the band gap.  相似文献   

8.
Bottom gate microcrystalline silicon thin film transistors (μc-Si TFT) have been realized with two types of films: μc-Si(1) and μc-Si(2) with crystalline fraction of 80% and close to 100% respectively. On these TFTs we applied two types of passivation (SiNx and resist). μc-Si TFTs with resist as a passivation layer present a low leakage current of about 2.10− 12 A for VG = − 10 and VD = 0.1V an ON to OFF current ratio of 106, a threshold voltage of 7 V, a linear mobility of 0.1 cm2/V s, and a sub-threshold voltage of 0.9 V/dec. Microcrystalline silicon TFTs with SiNx as a passivation present a new phenomenon: a parasitic current for negative gate voltage (− 15 V) causes a bump and changes the shape of the sub-threshold region. This excess current can be explained by and oxygen contamination at the back interface.  相似文献   

9.
Visible electroluminescence (EL) has been obtained from devices with active layers of silicon nanocrystals embedded in chlorinated silicon nitride (Si-nc/SiNx:Cl) thin films, deposited by remote plasma enhanced chemical vapour deposition, using SiCl4/NH3/H2/Ar. The active nc-Si/SiNx:Cl film was sandwiched between Al contacts and a transparent conductive contact of ZnOx:Al deposited by the pyrosol process. White EL centred at around 600 nm was observed, with a turn-on voltage of 5 V, and the intensity increasing as a function of voltage. Recombination between electron-hole pairs generated in the Si-nc by electron impact ionization is proposed as the EL mechanism.  相似文献   

10.
Light emission and morphology of silicon-rich silicon nitride films grown by plasma-enhanced chemical vapor deposition were investigated versus film’s stoichiometry. The excess silicon content in the films was controlled varying the NH3/SiH4 gas flow ratio from 0.45 up to 1.0. High-temperature annealing was employed to form the silicon quantum dots (QDs) and to enhance the photoluminescence (PL) in visible spectral range. The PL spectrum was found to be complex. The competition of five PL bands leads to the non-monotonous variation of total PL peak position in the range of 1.55–2.95 eV when the Si excess content increases. The shape of PL spectra depends also on an excitation light wavelength. It is shown that for the films fabricated with R ≤ 0.56 and R ≥ 0.67 the dominant contribution into PL spectra is given by native SiNx defects, whereas in the films obtained with R = 0.59–0.67 the Si-QDs form the main radiative channel. The highest PL intensity is detected in Si-rich SiNx films grown at R = 0.59–0.67 as well. PL mechanisms are discussed in terms of the contribution of different radiative channels in the light emission process that can show the ways for the optimization of SiNx light-emitting properties.  相似文献   

11.
Si-rich silicon nitride (SRSN) (SiNx, x ≈ 0.49) films were deposited on Si (100) and quartz substrates by magnetron co-sputtering. For comparison, two sets of identical samples were then treated in a nitrogen atmosphere by conventional rapid thermal processing (CRTP) and light-filtering rapid thermal processing (LRTP) at temperature in a range of 950–1,100 °C, respectively. Raman spectroscopy, grazing incident X-ray diffraction, transmission electron microscope, photoluminescence (PL) and Hall measurements were used to analyze the structure, luminescence, and conductivity of the films. Experimental results show that the samples treated with LRTP posses higher dot number density, crystalline volume fraction, PL intensity and conductivity than the CRTP samples. The quantum effects in rapid thermal processing have a negative influence on the formation and density of Si quantum dots (QDs) in SRSN films. The present work opens new strategy for the formation of high density Si QDs embedded in SRSN films.  相似文献   

12.
S.W. Kim  D.L. Choi 《Materials Letters》2010,64(18):1975-1977
Nanocrystalline silicon was successfully fabricated using conventional plasma enhanced chemical vapor deposition (PECVD) for bottom gate thin film transistor. This was accomplished by promoting nucleation rate in the initial stage of silicon growth by H2 or SF6 plasma treatment of the surface of silicon nitride (SiNx) films. Microstructure of hydrogenated nanocrystalline silicon (nc-Si:H) films confirmed the crystallization of silicon, and nanocrystalline silicon thin film transistor exhibited excellent stability.  相似文献   

13.
Hydrogenated silicon nitride films were deposited with NH3, SiH4 and N2 gas mixture at 700 °C by rapid thermal chemical vapor deposition (RTCVD) system. The NH3/N2 flow ratio and deposition pressure are found to influence the film properties. The stress of SiNx:H films deposited by RTCVD is tensile, which can reach ~ 1.5 GPa in our study. The stress of SiNx:H films is dependent on the deposition parameters, which can be associated with chemical configuration of the film. It is suggested that the presence of hydrogen atoms will relax the Si-N network, which results in the decrease of tensile stress of the SiNx:H film.  相似文献   

14.
《Thin solid films》1987,149(3):385-392
Careful X-ray photoelectron spectroscopy studies of the nitrogen core levels were used to compare, in the same substrate temperature Ts range (between room temperature and 450 °C), the first interaction stages of amorphous and crystalline silicon surfaces (a-Si and c-Si(111)-(7 × 7)) with ammonia and the uptake of nitrogen on reactive evaporation of silicon in an ammonia ambient, i.e. during the growth of hydrogenated amorphous silicon nitride (a-SiNx:H) films. In all cases we observed strongly correlated behaviours: the N 1s binding energy EB decreased with increasing Ts, reflecting the presence of more dissociated species, probably NH2 or NH and finally nitrogen, either on the silicon surfaces or in the bulk of the a-SiNx:H films. The total N 1s core level intensities corresponding to hydronitride complexes NHX decreased with increasing TS up to 300 °C. Above this temperature the contribution of completely dissociated molecules relevant to nitride environments became prominent. The nitrogen coverage of the silicon surfaces at room temperature as a function of exposure was also compared with the nitrogen content x of a-SiNx:H films as a function of NH3 pressure during evaporation. Converted into dynamical exposures for a given evaporation rate, this pressure dependence is very well explained in terms of variations in the sticking coefficient deduced from the adsorption studies.  相似文献   

15.
Silicon nitride, with a permittivity mid-way between SiO2 and common high-k materials such as HfO2, is widely used in microelectronics as an insulating layer on top of oxides where it serves as an impurity barrier with the positive side effect of increasing the dielectric constant of the insulator when it is SiO2. It is also employed as charge storage in nonvolatile memory devices thanks to its high concentration of charge traps. However, in the case of memories, it is still unclear which defects are responsible for charge trapping and what is the impact of defect concentration on the structural and electronic properties of SiNx. Indeed, for the amorphous phase the band gap was measured in the range 5.1–5.5 eV, with long tails in the density of states penetrating the gap region. It is still not clear which defects are responsible for the tails. On the other hand, the K-center defects have been associated with charge trapping, though its origin is assigned to one Si back bond. To investigate the contribution of defect states to the band edge tails and band gap states, we adopted the β phase of stoichiometric silicon nitride (β-Si3N4) as our model material and calculated its electronic properties employing ab initio DFT/LDA simulations with self-energy correction to improve the location of defect states in the SiNx band gap through the correction of the band gap underestimation typical of DFT/LDA. We considered some important defects in SiNx, as the Si anti-site and the N vacancy with H saturation, in two defect concentrations. The location of our calculated defect levels in the band gap correlates well with the available experimental data, offering a structural explanation to the measured band edge tails and charge trapping characteristics.  相似文献   

16.
M. Bedjaoui  B. Despax 《Thin solid films》2010,518(15):4142-4149
Films prepared by radiofrequency pulsed plasma enhanced chemical vapor deposition from a mixture of silane (SiH4) and nitrous oxide (N2O) were studied. Variation of operating conditions (flow rate, deposition temperature ...) resulted in films with chemical compositions changing from hydrogenated silicon oxynitride (SiOxNy:H) to silicon oxide (SiOx:H). Infrared and Rutherford backscattering spectroscopy studies of the as-deposited films revealed different SiOx arrangements disturbed by Si-N bonds and H-Si ≡ Si(3 − x)Ox clusters depending on the substrate temperature and the N2O/SiH4 ratio. For films obtained using low N2O/SiH4 rations and annealed at temperature higher than 1273 K, Raman spectroscopy and microscopy analyses revealed the presence of silicon nanocrystals embedded in a matrix containing Si, O, and N. Spectroscopic ellipsometry revealed the presence of silicon nanocrystals along with two other amorphous phases (SiOxNy and SiO2) in annealed samples. The electrical characteristics of annealed films obtained from capacitance-voltage measurements indicated a stable charge trapping in ultra-thin SiOxNy layers. These preliminary results suggest that Si-nc containing silicon oxynitride layers can be potential candidates to be used in the floating gate fabrication of memory devices.  相似文献   

17.
We investigated amorphous silicon carbide (a-SiC:H) thin films deposited by plasma-enhanced chemical vapor deposition (PECVD) as protective coatings for harsh environment applications. The influence of the deposition parameters on the film properties was studied. Stoichiometric films with a low tensile stress after annealing (< 50 MPa) were obtained with optimized parameters. The stability of a protective coating consisting of a PECVD amorphous silicon oxide layer (a-SiOx) and of an a-SiC:H layer was investigated through various aging experiments including annealing at high temperatures, autoclave testing and temperature cycling in air/water vapor environment. A platinum-based high-temperature metallization scheme deposited on oxidized Si substrates was used as a test vehicle. The a-SiOx/a-SiC:H stack showed the best performance when compared to standard passivation materials as amorphous silicon oxide or silicon nitride coatings.  相似文献   

18.
Silicon nitride (SiNx) and silicon oxynitride (SiOxNy) films have been formed by catalytic chemical vapor deposition (Cat-CVD) method using hexamethyldisilazane (HMDS). Addition of NH3 gas and increase in gas pressure can prevent carbonization of tungsten (W) catalyzer. These SiOxNy films have high gas barrier ability compare to the case of SiOxNy films using SiH4 and thus are expected for novel sealing films.  相似文献   

19.
Hydrogenated silicon nitride (a-SiNx:H) films were deposited at temperatures ranging from 50 to 300 °C with remote plasma enhanced chemical vapor deposition (RPECVD) from NH}_{3 and SiH}_{4. The effect of the operating variables, such as deposition temperature and especially the partial pressure ratio of reactant (R=NH3/SiH4) on the properties of the Sa-SiNx:H interface was investigated. The H* radical was dominantly observed and the deposition rate was proportional to the NH* radical concentration. The density of highly energetic N 2 * radicals increased in the high plasma power regime in which the film surface was roughened, but they promote surface reactions even at low temperature. The refractive index was more closely related to the film stoichiometry than film density. The interface trap density is related to the amount of silicon intermediate species and Si–NH bonds at the Si/SiNx:H interface and it can be minimized by reducing the intermediate Si species and Si–NH bonding state. The films showed a midgap interface trap density of 2 × 1011 - 2 × 1012cm-2. © 2001 Kluwer Academic Publishers  相似文献   

20.
We present recent progress on hot-wire deposited thin film solar cells and applications of silicon nitride. The cell efficiency reached for μc-Si:H n-i-p solar cells on textured Ag/ZnO presently is 8.5%, in line with the state-of-the-art level for μc-Si:H n-i-p's for any method of deposition. Such cells, used in triple junction cells together with hot-wire deposited proto-Si:H and plasma-deposited SiGe:H, have reached 10.5% efficiency. The single junction μc-Si:H n-i-p cell is entirely stable under prolonged light soaking. The triple junction cell, including protocrystalline i-layers, is within 3% stable, due to the limited thicknesses of the two top cells. The application of SiNx:H at a deposition rate of 3 nm/s to polycrystalline Si wafer solar cells has led to cells with 15.7% efficiency. We have also achieved record high deposition rates of 7.3 nm/s for transparent and dense SiNx;H. Hot-wire SiNx:H is likely to be the first large commercial application of the Hot Wire CVD (Cat-CVD) technology.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号