首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 46 毫秒
1.
《Organic Electronics》2007,8(5):591-600
Hybrid metal–insulator–semiconductor structures based on ethyl-hexyl substituted polyfluorene (PF2/6) as the active polymer semiconductor were fabricated on a highly doped p-Si substrate with Al2O3 as the insulating oxide layer. We present detailed frequency-dependent capacitance–voltage (CV) and conductance–voltage characteristics of the semiconductor/insulator interface. PF2/6 undergoes a transition to an ordered crystalline phase upon thermal cycling from its nematic-liquid crystalline phase, confirmed by our atomic force microscope images. Thermal cycling of the PF2/6 films significantly improves the quality of the (PF2/6)/Al2O3 interface, which is identified as a reduced hysteresis in the CV curve and a decreased interface state density (Dit) from ∼3.9 × 1012 eV−1 cm−2 to ∼3.3 × 1011 eV−1 cm−2 at the flat-band voltage. Interface states give rise to energy levels that are confined to the polymer/insulator interface. A conductance loss peak, observed due to the capture and emission of carriers by the interface states, fits very well with a single time constant model from which the Dit values are inferred.  相似文献   

2.
The study explored titanium dioxide (TiO2) on aluminum gallium arsenide (AlGaAs) prepared by liquid phase deposition (LPD) at 40 °C. The leakage current density was about 8.4 × 10?6 A/cm2 at 1 MV/cm. The interface trap density (Dit) and the flat-band voltage shift (ΔVFB) were 2.3 × 1012 cm?2 eV?1 and 1.2 V, respectively. After rapid thermal annealing (RTA) in the ambient N2 at 350 °C for 1 min, the leakage current density, Dit, and ΔVFB were improved to 2.4 × 10?6 A/cm2 at 1 MV/cm, 7.3 × 1011 cm?2 eV?1, and 1.0 V, respectively. Finally, the study demonstrates the application to the AlGaAs/InGaAs metal–oxide–semiconductor pseudomorphic high-electron-mobility transistor (MOS-PHEMT). The results indicate the potential of the proposed device with a LPD-TiO2 gate oxide for power application.  相似文献   

3.
We have systematically studied the effects of SixN1  x passivation density on the reliability of AlGaN/GaN high electron mobility transistors. Upon stressing, devices degrade in two stages, fast-mode degradation and followed by slow-mode degradation. Both degradations can be explained as different stages of pit formation at the gate-edge. Fast-mode degradation is caused by pre-existing oxygen at the SixN1  x/AlGaN interface. It is not significantly affected by the SixN1  x density. On the other hand, slow-mode degradation is associated with SixN1  x degradation. SixN1  x degrades through electric-field induced oxidation in discrete locations along the gate-edges. The size of these degraded locations ranged from 100 to 300 nm from the gate edge. There are about 16 degraded locations per 100 μm gate-width. In each degraded location, low density nano-globes are formed within the SixN1  x. Because of the low density of the degraded locations, oxygen can diffuse through these areas and oxidize the AlGaN/GaN to form pits. This slow-mode degradation can be minimized by using high density (ρ = 2.48 g/cm3) Si36N64 as the passivation layer. For slow-mode degradation, the median time to failure of devices with high density passivation is found to increase up to 2× as compared to the low density (ρ = 2.25 g/cm3) Si43N57 passivation. A model based on Johnson-Mehl-Avrami theory is proposed to explain the kinetics of pit formation.  相似文献   

4.
Germanium surface and interfaces are modeled based on the requirement that surface charge neutrality is satisfied. It is found that Ge interfaces have remarkable electronic properties stemming from the fact that the energy gap is low and the CNL is located very low in the gap close to the valence band. Because of this, acceptor defects (probably dangling bonds) are easily filled building a negative charge at the interface which easily inverts the surface of n-type Ge at no gate bias and for low doping ND and moderate to high interface state density Dit. This has important consequence in the electrical characteristics of Ge transistors. In p-channel FETs, an undesired positive threshold voltage VT of +0.2 to +0.5 V is predicted depending on ND, Dit and the equivalent oxide thickness. In n-channel FETs, inversion is inhibited and VT could become higher than 1 V if the Dit is well in excess of 1013 eV?1 cm?2.  相似文献   

5.
Potential application of amorphous silicon nitride (a-Si3N4)/silicon oxy-nitride (SiON) film has been demonstrated as resistive non-volatile memory (NVM) device by studying the Al/Si3N4/SiON/p-Si metal–insulator–semiconductor (MIS) structure. The existence of several deep trap states was revealed by the photoluminescence characterizations. The bipolar resistive switching operation of this device was investigated by current–voltage measurements whereas the trap charge effect was studied in detail by hysteresis behavior of frequency dependent capacitance–voltage characteristics. A memory window of 4.6 V was found with the interface trap density being 6.4 × 1011 cm−2 eV−1. Excellent charge retention characteristics have been observed for the said MIS structure enabling it to be used as a reliable non-volatile resistive memory device.  相似文献   

6.
The formation of interface and border states in metal–oxide–semiconductor structures associated with the generation of embedded germanium nanocrystals in 20 nm SiO2-layers by means of ion implantation and a subsequent annealing was examined. Deep level transient spectroscopy and related time-domain techniques were applied in order to study the charge trapping and emission at the Si–SiO2 interface. A significant dependence of the interface state density Dit on the conditions of the cluster generation was found. Any Ge-implanted sample features a pronounced level at about 0.31 eV above the valence band edge and a concentration above 1013 cm?2 eV?1, likely related to a Pb-center. A systematic variation of the filling pulse parameters was utilized in order to separate the response of fast and slow states, and to substantiate the existence of border traps located in the vicinity of the Si–SiO2 interface. The role of interface and border traps for the relaxation of the trapped charge in the nanocrystals is illustrated.  相似文献   

7.
The interfacial and electrical properties of GaAs metal-oxide-semiconductor capacitors with yittrium-oxynitride interfacial passivation layer treated by N2 −/NH3-plasma are investigated, showing that lower interface-state density (1.24 × 1012 cm 2 eV 1 near midgap), smaller gate leakage current density (1.34 × 10 5 A/cm2 at Vfb + 1 V), smaller capacitance equivalent thickness (1.43 nm), and larger equivalent dielectric constant (24.5) can be achieved for the sample with NH3-plasma treatment than the samples with N2 −/no-plasma treatment. The mechanisms lie in the fact that NH3-plasma can provide not only N atoms, but H atoms and NH radicals to effectively passivate the high-k/GaAs interface, thus less pinning the Femi level at high-k/GaAs interface.  相似文献   

8.
Atomic layer deposited (ALD) HfO2/GeOxNy/Ge(1 0 0) and Al2O3/In0.53Ga0.47As(1 0 0) ? 4 × 2 gate stacks were analyzed both by MOS capacitor electrical characterization and by advanced physical characterization to correlate the presence of electrically-active defects with chemical bonding across the insulator/channel interface. By controlled in situ plasma nitridation of Ge and post-ALD annealing, the capacitance-derived equivalent oxide thickness was reduced to 1.3 nm for 5 nm HfO2 layers, and mid-gap density of interface states, Dit = 3 × 1011 cm?2 eV?1, was obtained. In contrast to the Ge case, where an engineered interface layer greatly improves electrical characteristics, we show that ALD-Al2O3 deposited on the In0.53Ga0.47As (1 0 0) ? 4 × 2 surface after in situ thermal desorption in the ALD chamber of a protective As cap results in an atomically-abrupt and unpinned interface. By avoiding subcutaneous oxidation of the InGaAs channel during Al2O3 deposition, a relatively passive gate oxide/III–V interface is formed.  相似文献   

9.
All RF sputtering-deposited Pt/SiO2/n-type indium gallium nitride (n-InGaN) metal–oxide–semiconductor (MOS) diodes were investigated before and after annealing at 400 °C. By scanning electron microscopy (SEM), the thickness of Pt, SiO2, n-InGaN layer was measured to be ~250, 70, and 800 nm, respectively. AFM results also show that the grains become a little bigger after annealing, the surface topography of the as-deposited film was smoother with the rms roughness of 1.67 nm and had the slight increase of 1.92 nm for annealed sample. Electrical properties of MOS diodes have been determined by using the current–voltage (IV) and capacitance–voltage (CV) measurements. The results showed that Schottky barrier height (SBH) increased slightly to 0.69 eV (IV) and 0.82 eV (CV) after annealing at 400 °C for 15 min in N2 ambient, compared to that of 0.67 eV (IV) and 0.79 eV (CV) for the as-deposited sample. There was the considerable improvement in the leakage current, dropped from 6.5×10−7 A for the as-deposited to 1.4×10−7 A for the 400 °C-annealed one. The annealed MOS Schottky diode had shown the higher SBH, lower leakage current, smaller ideality factor (n), and denser microstructure. In addition to the SBH, n, and series resistance (Rs) determined by Cheungs׳ and Norde methods, other parameters for MOS diodes tested at room temperature were also calculated by CV measurement.  相似文献   

10.
We report on preparation and electrical characterization of InAlN/AlN/GaN metal–oxide–semiconductor high electron mobility transistors (MOS HEMTs) with Al2O3 gate insulation and surface passivation. About 12 nm thin high-κ dielectric film was deposited by MOCVD. Before and after the dielectric deposition, the samples were treated by different processing steps. We monitored and analyzed the steps by sequential device testing. It was found that both intentional (ex situ) and unintentional (in situ before Al2O3 growth) InAlN surface oxidation increases the channel sheet resistance and causes a current collapse. Post deposition annealing decreases the sheet resistance of the MOS HEMT devices and effectively suppresses the current collapse. Transistors dimensions were source-to-drain distance 8 μm and gate width 2 μm. A maximum transconductance of 110 mS/mm, a drain current of ~0.6 A/mm (VGS = 1 V) and a gate leakage current reduction from 4 to 6 orders of magnitude compared to Schottky barrier (SB) HEMTs was achieved for MOS HEMT with 1 h annealing at 700 °C in forming gas ambient. Moreover, InAlN/GaN MOS HEMTs with deposited Al2O3 dielectric film were found highly thermally stable by resisting 5 h 700 °C annealing.  相似文献   

11.
We experimentally examine the effective mobility in nMOSFETs with La2O3 gate dielectrics without SiOx-based interfacial layer. The reduced mobility is mainly caused by fixed charges in High-k gate dielectrics and the contribution of the interface state density is approximately 30% at Ns = 5 × 1011 cm?2 in the low 1011 cm?2 eV?1 order. It is considered that one of the effective methods for improving mobility is to utilize La-silicate layer formed by high temperature annealing. However, there essentially exists trade-off relationship between high temperature annealing and small EOT.  相似文献   

12.
In this paper, we present comprehensive results on Al-postmetallization annealing (Al-PMA) effect for the SiO2/GeO2 gate stack on a Ge substrate, which were fabricated by a physical vapor deposition method. The effective oxide thickness of metal-oxide-semiconductor (MOS) capacitor (CAP) was ~7 nm, and the Al-PMA was performed at a temperature in the range of 300–400 °C. The flat band voltage (VFB), the hysteresis (HT), the interfacial states density (Dit), and the border traps density (Dbt) for MOSCAPs were characterized by a capacitance–voltage method and a constant-temperature deep-level transient spectroscopy method. The MOSCAP without Al-PMA had an electrical dipole of ~−0.8 eV at a SiO2/GeO2 interface, which was disappeared after Al-PMA at 300 °C. The HT, Dit, and Dbt were decreased after Al-PMA at 300 °C and were maintained in the temperature range of 300–400 °C. On the other hand, the VFB was monotonically shifted in the positive direction with an increase in PMA temperature, suggesting the generation of negatively charged atoms. Structural analyses for MOSCAPs without and with Al-PMA were performed by a time-of-flight secondary ion mass spectroscopy method and an X-ray photoelectron spectroscopy method. It was confirmed that Al atoms diffused from an Al electrode to a SiO2 film and reacted with GeO2. The dipole disappearance after Al-PMA at 300 °C is likely to be associated with the structural change at the SiO2/GeO2 interface. We also present the device performances of Al-gated p-channel MOS field-effect transistors (FET) with PMA treatments, which were fabricated using PtGe/Ge contacts as source/drain. The peak field-effect mobility (μh) of the p-MOSFET was reached a value of 468 cm2/Vs after Al-PMA at 325 °C. The μh enhancement was explained by a decrease in the total charge densities at/near the GeO2/Ge interface.  相似文献   

13.
The effects of NO and forming gas post oxidation annealing treatments on the interfacial properties and reliability of thermal oxides grown on n-type 4H-SiC (0001) Si face have been investigated in this study. The results show that forming gas annealing (FGA) treatment has limited effect on interface trap density (Dit) while it results in an improvement of the insulating properties of thermal oxide with uniform high FN barrier height (2.56 eV), high field-to-breakdown (10.71 MV/cm) and charge-to-breakdown (0.078 C/cm2). On the other hand, NO annealing causes a drastic reduction in Dit in the entire energy level, but in the case of reliability, it is not so effective as FGA, with lower barrier height (2.52 eV), field-to-breakdown (10.08 MV/cm), charge-to-breakdown (0.025 C/cm2) and worse uniformity of oxide. The combined NO&FGA treatment was also studied. It leads to a significant reduction in interface trap density further, especially in deep energy level (EC-ET  0.4 eV). As for reliability, it brings about uniform barrier height (2.69 eV), field-to-breakdown (10.15 MV/cm) and charge-to-breakdown (0.024 C/cm2). Taking interfacial properties and reliability into account, combined NO&FGA treatment is a promising POA technique for fabrication of high-quality SiC MOS devices.  相似文献   

14.
A novel interpretation for conductance spectra obtained by conductance method of La2O3 gated MOS capacitors has been proposed. Two distinct peaks, one with broad spectrum ranging from 10 k to 200 kHz and the other near 1 kHz with a single time constant spectrum, have been observed at depletion condition. The former spectrum can be assigned as the interface traps (Dit) located at the interface between La-silicate and the Si substrate by statistical surface potential fluctuation model. On the other hand, as the latter slow trap signal shows strong influence with the thickness of La-silicate layer, it can be assigned as the trappings (Dslow) at the interface between La2O3 and La-silicate. Finally, the Dit and Dslow trends on annealing temperature are summarized.  相似文献   

15.
Cut-off frequency increase from 12.1 GHz to 26.4 GHz, 52.1 GHz and 91.4 GHz is observed when the 1 μm gate length GaN HEMT is laterally scaled down to LG = 0.5 μm, LG = 0.25 μm and LG = 0.125 μm, respectively. The study is based on accurately calibrated transfer characteristics (ID-VGS) of the 1 μm gate length device using Silvaco TCAD. If the scaling is also performed horizontally, proportionally to the lateral (full scaling), the maximum drain current is reduced by 38.2% when the gate-to-channel separation scales from 33 nm to 8.25 nm. Degradation of the RF performance of a GaN HEMT due to the electric field induced acceptor traps experienced under a high electrical stress is found to be about 8% for 1 μm gate length device. The degradation of scaled HEMTs reduces to 3.5% and 7.3% for the 0.25 μm and 0.125 gate length devices, respectively. The traps at energy level of ET = EV + 0.9 eV (carbon) with concentrations of NIT = 5 × 1016cm 3, NIT = 5 × 1017cm 3 and NIT = 5 × 1018cm 3 are located in the drain access region where highest electrical field is expected. The effect of traps on the cut-off frequency is reduced for devices with shorter gate lengths down to 0.125 μm.  相似文献   

16.
2000 Å-SiO2/Si(1 0 0) and 560 Å-Si3N4/Si(1 0 0) wafers, that are 10 cm in diameter, were directly bonded using a rapid thermal annealing method, so-called fast linear annealing (FLA), in which two wafers scanned with a high-power halogen lamp. It was demonstrated that at lamp power of 550 W, corresponding to the surface temperature of ∼450°C, the measured bonded area was close to 100%. At the same lamp power, the bond strength of the SiO2∥Si3N4 wafer pair reached 2500 mJ/m2, which was attained only above 1000°C with conventional furnace annealing for 2 h. The results clearly show that the FLA method is far superior in producing high-quality directly bonded Si wafer pairs with SiO2 and Si3N4 films (Si/SiO2∥Si3N4/Si) compared to the conventional method.  相似文献   

17.
Silicon (Si) and Si with a 60 nm Si0.95Ge0.05 epilayer cap (Si0.95Ge0.05/Si) were implanted with 60 keV, 1×1013 cm−2 boron (B) followed by annealing in nitrogen (N2) or dry oxygen (O2) in two different anneal conditions. B+implantation energy and dose were set such that the B peak is placed inside Si in Si0.95Ge0.05/Si samples and concentration independent B diffusion is achieved upon annealing. For samples annealed above 1075 °C, Ge diffusing from the Si0.95Ge0.05 epilayer cap in Si0.95Ge0.05/Si samples reached the B layer inside Si and resulted in retarded B diffusion compared to the Si samples. For annealing done at lower temperatures, diffusion of Ge from Si0.95Ge0.05 epilayer cap does not reach the B layer inside Si. Thus B diffusion profiles in the Si and Si0.95Ge0.05/Si samples appear to be similar. B diffusion in dry oxidizing ambient annealing of Si0.95Ge0.05/Si samples further depends on the nature of Si0.95Ge0.05 oxidation which is set by the duration and the thermal budget of the oxidizing anneal.  相似文献   

18.
The electrical analysis of Ni/n-GaP structure has been investigated by means of current–voltage (IV), capacitance–voltage (CV) and capacitance–frequency (Cf) measurements in the temperature range of 120–320 K in dark conditions. The forward bias IV characteristics have been analyzed on the basis of standard thermionic emission (TE) theory and the characteristic parameters of the Schottky contacts (SCs) such as Schottky barrier height (SBH), ideality factor (n) and series resistance (Rs) have been determined from the IV measurements. The experimental values of SBH and n for the device ranged from 1.01 eV and 1.27 (at 320 K) to 0.38 eV and 5.93 (at 120 K) for Ni/n-GaP diode, respectively. The interface states in the semiconductor bandgap and their relaxation time have been determined from the Cf characteristics. The interface state density Nss has ranged from 2.08 × 1015 (eV?1 m?2) at 120 K to 2.7 × 1015 (eV?1 m?2) at 320 K. Css has increased with increasing temperature. The relaxation time has ranged from 4.7 × 10?7 s at 120 K to 5.15 × 10?7 s at 320 K.  相似文献   

19.
《Microelectronic Engineering》2007,84(9-10):1968-1971
Charge trapping in ultrathin high-k Gd2O3 dielectric leading to appearance of hysteresis in C-V curves is studied by capacitance-voltage and current-voltage techniques. It was shown that the large leakage current at a negative gate voltage causes the generation of the positive charge in the dielectric layer, resulting in the respective shift of the C-V curve. The capture cross-section of the hole traps is around 2 × 10−20 cm2. The distribution of the interface states was measured by conductance technique showing the concentration up to 7.5 × 1012 eV−1 cm−2 near the valence band edge.  相似文献   

20.
The DC and microwave characteristics of Lg = 50 nm T-gate InAlN/AlN/GaN High Electron Mobility Transistor (HEMT) on SiC substrate with heavily doped n+ GaN source and drain regions have demonstrated using Synopsys TCAD tool. The proposed device features an AlN spacer layer, AlGaN back-barrier and SiN surface passivation. The proposed HEMT exhibits a maximum drain current density of 1.8 A/mm, peak transconductance (gm) of 650 mS/mm and ft/fmax of 118/210 GHz. At room temperature, the measured carrier mobility, sheet charge carrier density (ns) and breakdown voltage are 1195 cm2/Vs, 1.6 × 1013 cm−2 and 18 V respectively. The superlatives of the proposed HEMTs are bewitching competitor for future monolithic microwave integrated circuits (MMIC) applications particularly in W-band (75–110 GHz) high power RF applications.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号