首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 687 毫秒
1.
利用现场可编程门阵列(FPGA)设计PCI总线仲裁器,以适应各种不同要求的应用场合。遵循总线仲裁循环优先级算法原则.选用分布式仲裁结构.利用VHDL语言将PCI总线、总线仲裁器和功能模块进行联合优化设计.实现基于FPGA的PCI总线仲裁器。  相似文献   

2.
i860多机系统中串行链循环优先权总线仲裁器   总被引:1,自引:0,他引:1  
本文介绍了一种总线仲裁器的逻辑电路。它具有仲裁开销小,扩展性好,各模块公平占用总线等特点。很适合应用于共享总线的多处理器系统中。  相似文献   

3.
描述了PCI总线仲裁的原理和仲裁算法,阐述了用可编程器件实现总线仲裁的具体方法,并实现了一个双主设备仲裁器。  相似文献   

4.
多处理机系统的总线仲裁机构的设计和使用直接影响系统的效率.本文介绍了多处理机系统的总线仲裁机构的原理及串、并行两种方式的总线仲裁器.分析了总线仲裁机构可能发生的错误动作.最后给出一个系统总线接口的设计实例。  相似文献   

5.
首先介绍多重覆盖率导向结合断言的FPGA(Field Programmable Gate Array)验证方法,然后以FPGA总线仲裁器的功能验证为背景,制定了FPGA总线仲裁器模块的验证策略和验证计划,并利用多重覆盖率导向结合断言的验证方法对FPGA总线仲裁器模块进行实际验证。实验结果表明,该方法可以有效地保证验证的充分性,缩短功能验证的时间。  相似文献   

6.
基于EPLD的PCI总线仲裁器的设计与实现   总被引:10,自引:0,他引:10  
以自行研制开发的 PCI高速总线背板为背景,系统地论述了 PCI总线的仲裁机制、总线的缺省占用、仲裁信号协定及优先级仲裁算法,给出了采用 E P L D实现仲裁器功能的编程设计。  相似文献   

7.
本文介绍了两种分布式总线仲裁器的设计,一种蜞于优先权仲裁策略,一种蜞于请求时间的公平仲裁策略,另外,还介绍了一种利用时间计烽器实现紧急请求的方法。  相似文献   

8.
黄清泉  洪沙  吴垣甫 《计算机工程》2008,34(22):236-238
在总线的主设备上增设了实时操作系统的任务优先级分配机制,基于蒙特卡罗选择实现总线仲裁器的仲裁策略,建立不同类型的从设备存储器模型。运用SystemC在交易级实现整个总线系统模型,并对该模型进行仿真。实验结果证实了仲裁算法的有效性。  相似文献   

9.
循环优先仲裁算法具有现实公平的特点,介绍了两级循环优先仲裁算法,并给出了该算法在PCI总线仲裁器上的实现方案。将PCI总线主设备分为优先权不同的四个层次,通过对各层次总线主设备的检测实现仲裁。由于采用了设备申请号寄存器组记录总线状态,避免了复杂状态机的设计,该方案可灵活应用于不同数量设备的PCI系统,具有很好的可扩展性。  相似文献   

10.
一款基于多处理器片上系统的动态自适应仲裁器   总被引:1,自引:0,他引:1  
随着深亚微米工艺技术的发展,同一芯片上集成多个处理器得以实现.通信架构是多处理器片上系统的瓶颈,而高效的仲裁器可以解决多个处理器同时访问共享资源引起的冲突和竞争,从而防止系统性能的下降,提出一款算法简单的动态自适应仲裁器,它可以自动调节各个处理器占据的总线带宽,避免饥饿现象,基于多处理器仿真平台的实验结果显示它比传统的仲裁器减少了68%的任务完成时间,缩短了78%的总线等待时间,并且能更好地控制各处理器的总线带宽.  相似文献   

11.
本文简要介绍了PCI总线的仲裁机制,完成了PCI总线仲裁器核心的设计、实现.通过ModelSim进行了软件仿真,最后在XIUNX公司的FPGA上加以了验证.  相似文献   

12.
本文简要介绍了PCI总线的仲裁机制,完成了PCI总线仲裁器核心的设计、实现。通过ModelSim进行了软件仿真,最后在XILINX公司的FPGA上加以了验证。  相似文献   

13.
多处理器片上系统在单芯片上集成了多种指令集处理器,可完成复杂完整的功能。通信架构是多处理器片上系统的瓶颈,而高效的仲裁器可以解决多个处理器同时访问共享资源引起的冲突和竞争,从而防止系统性能的下降。提出一种实时动态自适应仲裁器,它既可以考虑实时要求,又可以自动调节各个处理器占据的总线带宽,避免饥饿现象。基于多处理器仿真平台的实验结果显示它比传统的仲裁器减少了49%的延迟时间,并且能更好地控制各处理器的总线带宽。  相似文献   

14.
基于传输时间精确预测的片上总线仲裁算法   总被引:3,自引:0,他引:3  
片上系统中各主设备有不同的实时性和带宽要求,它们竞争使用片上系统总线.总线仲裁器采用各种仲裁算法试图满足实时性和带宽要求,但已有算法很难同时满足这两方面的要求.提出一种基于传输时间精确预测的仲裁算法,采用该算法的仲裁器能够精确地预测在当前仲裁机制下各个请求的完成时间.因此能判断哪些主设备的实时性可能会被违反,从而提前改变总线仲裁策略以满足各主设备实时性要求.同时,采用该算法后仲裁器并行比较主设备的实际传输带宽和需求带宽的差别,及时调整优先权以实现对带宽的精确分配.实验结果表明,该算法比常见的5种算法在实时性要求满足百分比方面平均提高66.47%,很好地满足了各主设备在各种情况下的强实时要求.  相似文献   

15.
PCI总线加权优先循环仲裁算法   总被引:2,自引:0,他引:2  
文章介绍了在PCI系统结构中新的总线仲裁机制,提出了加权优先循环算法。该算法是基于优先算法和公平循环算法的访问策略,它的特点是避免了优先算法中高优先级的PCI主设备在重新请求访问总线时独占总线,同时也解决了公平循环算法中各主设备对总线访问的平均性问题,使得仲裁器可以根据不同设备的性能要求,分配不同设备不同加权因子,使高性能和高速度设备能及时访问总线,降低访问延迟时间。  相似文献   

16.
于万瑞 《测控技术》2004,23(8):47-49,52
详细介绍了PCI总线仲裁逻辑的原理和仲裁算法,解释了总线的停靠和时序要求;阐述了用硬件描述语言设计仲裁逻辑的具体过程,给出了逻辑状态机和转换关系.  相似文献   

17.
如何有效的对SoC设计进行验证已经成为缩短设计周期的关键问题.针对这个问题,本文提出一种形式化建模与验证方法,对片上系统AMBA工业总线规范的AHB总线协议进行形式化规格;建立了与AHB协议规格对应的有限状态机和SMV模型,使用CTL描述了仲裁器的公平性、从单元活性、从单元的交互操作性、互斥性和无饥饿属性;采用SMV模型检验器对AHB总线协议模型的无饥饿属性进行了自动化验证.结果表明所提方法能够有效应用于SoC的验证.  相似文献   

18.
杨晶鑫  张文龙 《计算机工程》2003,29(2):161-162,220
在一些对资源使用率经常发生变化的系统中,采用固定仲裁准则的裁器不很理想,文章提出利用随机争用仲裁方法来设计仲裁器,可以很好地解决公平竞争和优先竞争以及竞争概率调节的问题,并给出了均匀分布优先分布的几组识别码以及仲裁器的基本结构。  相似文献   

19.
CAN总线已在分布式控制系统中得到了广泛的应用.CAN总线采用总线形拓扑结构存在很多不足,限制了其在很多领域的推广.介绍了CAN总线的仲裁机制并设计了一种基于FPGA的CAN总线集线器,利用该集线器可以实现CAN总线的星形网络拓扑结构,解决了现有总线形CAN网络的缺陷.  相似文献   

20.
基于MPEG4的网络摄像机的设计与实现   总被引:4,自引:0,他引:4  
网络带宽是制约网络摄像机性能的“瓶颈”之一,为此,提出一种基于MPEG4的网络摄像机的设计方案,给出了它的软硬件设计方案,并使用PNX1301芯片实现了整个系统,重点介绍了PCI总线仲裁器的设计和实现。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号