首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到10条相似文献,搜索用时 15 毫秒
1.
基于FPGA和VHDL的LED点阵汉字滚动显示设计   总被引:1,自引:0,他引:1  
张鹂  李曼义 《信息技术》2009,33(12):105-106,110
主要研究基于FPGA和VHDL的LED点阵汉字滚动显示。首先描述了基于现场可编程门阵列(FPGA)的硬件电路,以及点阵显示汉字的原理;然后研究了在单个8×8 LED发光二极管点阵上滚动显示多汉字的原理;最后给出了描述其功能的VHDL语言程序设计方法。通过编程、调试、仿真、下载正确地实现了汉字滚动显示扫描结果,其硬件系统的实验验证也获得了与软件模拟仿真结论相吻合的结果。  相似文献   

2.
本文主要讨论了使用 EDA工具设计汉字滚动显示器的技术问题。文中首先描述了基于现场可编程门 阵列(FPGA)的硬件电路;然后研究了在8 × 8 LED发光二极管点阵上显示滚动汉字的原理,并给 出了基于ALTERA的参数化模型库LPM描述其功能的VHDL语言程序设计;最后对使用EDA工具软件加 工被显示数据文件的方法进行了讨论。  相似文献   

3.
基于FPGA控制的LED汉字滚动显示器设计   总被引:1,自引:1,他引:0  
实现了基于FPGA硬件及VHDL语言设计的LED点阵汉字滚动显示,可通过按键选择,控制其滚动方式:左移或者右移及上移或者下移等。阐述了LED点阵显示汉字的原理,给出了点阵汉字滚动显示控制器的原理图、部分VHDL源程序及时序仿真图并进行了详细的分析,提出了系统扩展成实现16×16点阵汉字滚动的改动思路。  相似文献   

4.
Proteus在LED点阵滚动显示屏设计中的应用   总被引:2,自引:0,他引:2  
介绍了LED点阵汉字滚动显示屏的系统硬件电路设计和软件设计过程和思路,对由8×8点阵LED构成的16×16点阵LED显示器件的汉字显示方式做了深入分析,并在先进的嵌入式系统仿真平台Proteus ISIS上进行了系统软、硬件协同仿真,显示了Proteus在单片机系统设计中的超群功能.  相似文献   

5.
本文采用模块化设计方案,实现了一款用手势可以改变汉字滚动方向的16×64 LED点阵显示屏的设计,设计中采用AT89S52单片机为微控制器,由74HC154译码器和PNP 型三极管8550构成行驱动电路,以光电管为控制开关实现对文字滚动方向的控制,采用16个8x8LED 显示器级联构成16×64的点阵汉字滚动显示屏。软、硬件设计结果表明,该设计能够准确按照用户手势改变显示方向,且汉字清晰,无串扰,无重影。  相似文献   

6.
文章介绍了基于亚龙YL-236实验台的32×16点阵显示屏的硬件电路设计、电路驱动、软件设计,实现滚动显示16×16的汉字、8×16的数字、字母。具有结构简单,可控性好,方便实现的优点,具有一定的实际价值。  相似文献   

7.
陆继远  景亮 《电讯技术》2011,51(10):76-79
基于现有的EDA技术,针对同步Petri网和硬件描述语言的关联性,提出了用VHDL语言对同步Petri网进行描述并实现.首先使用同步Petri网对控制器进行建模,然后使用VHDL语言对同步Petri网控制模型进行描述,最终在EDA软件Max+ PlusII中进行编译、仿真和适配,并下栽到FPGA中,通过实验开发系统GW...  相似文献   

8.
随着芯片复杂度的提高,EDA工具在整个设计链中越来越重要;随着工艺特征尺寸的缩小以及手持设备的不断普及,集成电路面临着越来越严重的功耗挑战.因此在IC设计链中优化功耗显得尤为必要.本文在分析了低功耗设计重要性的基础上,重点阐述了一个典型的基于EDA工具的低功耗设计流程,并描述了各阶段为了降低功耗所采取的措施,最后给出了ARM1136JF-S芯片实例.实验结果表明,使用本文的低功耗实现方法可降低功耗40%.  相似文献   

9.
根据汉字的“方块”特性,本文提出了基于图形映象的汉字显示方法。分析讨论了实现这种方法必须掌握的图形映象数据格式,汉字点阵数据与图形映象数据的转换算法,以及优化系统的小字库自动生成等几个关键的技术问题,给出了实现16×16点阵汉字显示的流程和实例。  相似文献   

10.
点阵式LCD不仅可以显示字符、数字和各种汉字,而且可以实现上下滚动、逐字显示等功能,而DSP则具有精度高、可靠性强、集成度高、接口方便、灵活性好、保密性好、可时分复用等特点.为此,文中以DSP芯片为平台,给出了一个基于DSP的图形液晶显示屏的设计方法.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号