首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
N-channel metal oxide semiconductor field effect transistors (MOSFETs) using Ta2O5, gate oxide were fabricated. The Ta2O5 films were deposited by plasma enhanced chemical vapor deposition. The IDS-VDS and IDS-VGS characteristics mere measured. The electron mobility was 333 cm2/V·s. The subthreshold swing was 73 mV/dec. The interface trapped charge density, the surface recombination velocity, and the minority carrier lifetime in the field-induced depletion region measured from gated diodes were 9.5×1012 cm-2 eV-1, 780 cm/s and 3×10-6 sec, respectively. A comparison with conventional MOSFETs using SiO2 gate oxide was made  相似文献   

2.
High-performance inversion-type enhancement-mode n-channel In0.53Ga0.47As MOSFETs with atomic-layer-deposited (ALD) Al2O3 as gate dielectric are demonstrated. The ALD process on III-V compound semiconductors enables the formation of high-quality gate oxides and unpinning of Fermi level on compound semiconductors in general. A 0.5-mum gate-length MOSFET with an Al2O3 gate oxide thickness of 8 nm shows a gate leakage current less than 10-4 A/cm2 at 3-V gate bias, a threshold voltage of 0.25 V, a maximum drain current of 367 mA/mm, and a transconductance of 130 mS/mm at drain voltage of 2 V. The midgap interface trap density of regrown Al2O3 on In0.53Ga0.47As is ~1.4 x 1012/cm2 ldr eV which is determined by low-and high-frequency capacitance-voltage method. The peak effective mobility is ~1100 cm2 / V ldr s from dc measurement, ~2200 cm2/ V ldr s after interface trap correction, and with about a factor of two to three higher than Si universal mobility in the range of 0.5-1.0-MV/cm effective electric field.  相似文献   

3.
Long-channel Ge pMOSFETs and nMOSFETs were fabricated with high-kappa CeO2/HfO2/TiN gate stacks. CeO2 was found to provide effective passivation of the Ge surface, with low diode surface leakage currents. The pMOSFETs showed a large I ON/IOFF ratio of 106, a subthreshold slope of 107 mV/dec, and a peak mobility of approximately 90 cm2 /Vmiddots at 0.25 MV/cm. The nMOSFET performance was compromised by poor junction formation and demonstrated a peak mobility of only ~3 cm2/Vmiddots but did show an encouraging ION/I OFF ratio of 105 and a subthreshold slope of 85 mV/dec  相似文献   

4.
叶伟  崔立堃  常红梅 《电子学报》2019,47(6):1344-1351
具有高介电常数的栅绝缘层材料存在某种极化及耦合作用,使得ZnO-TFTs具有高的界面费米能级钉扎效应、大的电容耦合效应和低的载流子迁移率.为了解决这些问题,本文提出了一种使用SiO2修饰的Bi1.5Zn1.0Nb1.5O7作为栅绝缘层的ZnO-TFTs结构,分析了SiO2修饰对栅绝缘层和ZnO-TFTs性能的影响.结果表明,使用SiO2修饰后,栅绝缘层和ZnO-TFTs的性能得到显著提高,使得ZnO-TFTs在下一代显示领域中具有非常广泛的应用前景.栅绝缘层的漏电流密度从4.5×10-5A/cm2降低到7.7×10-7A/cm2,粗糙度从4.52nm降低到3.74nm,ZnO-TFTs的亚阈值摆幅从10V/dec降低到2.81V/dec,界面态密度从8×1013cm-2降低到9×1012cm-2,迁移率从0.001cm2/(V·s)升高到0.159cm2/(V·s).  相似文献   

5.
A “gated diode” technique is described for the measurement of the interface state density of the silicon film/buried oxide interface of SOI MOSFETs. This approach becomes possible by taking advantage of the front gate, which is biased to inversion (NMOSFET) or accumulation (BC-PMOSFET) during the measurement, while scanning the back interface through depletion. Using this technique the estimated value of the buried interface state density of typical low dose SIMOX MOSFETs was slightly over 1011/cm2  相似文献   

6.
Thin-film transistors (TFTs) have been made that incorporate a thin (~380 Å), high-quality plasma-enhanced chemical vapor deposition (PECVD) SiO2 film as the gate dielectric in a staggered-inverted structure. Threshold voltages and mobilities have been found to be in the range of 1.6-2.4 V and 0.20-0.25 cm2 V-1 s-1, respectively, where the exact values are dependent on the measurement technique used. Very low gate leakage currents (<10-11 A) were recorded when measured using a ramped I-V technique, even for electric fields as high as 5×106 V/cm  相似文献   

7.
Ultra-shallow p+/n and n+/p junctions were fabricated using a Silicide-As-Diffusion-Source (SADS) process and a low thermal budget (800-900°C). A thin layer (50 nm) of CoSi2 was implanted with As or with BF2 and subsequently annealed at different temperatures and times to form two ultra-shallow junctions with a distance between the silicide/silicon interface and the junction of 14 and 20 nm, respectively. These diodes were investigated by I-V and C-V measurements in the range of temperature between 80 and 500 K. The reverse leakage currents for the SADS diodes were as low as 9×10 -10 A/cm2 for p+/n and 2.7×10-9 A/cm2 for n+/p, respectively. The temperature dependence of the reverse current in the p +/n diode is characterized by a unique activation energy (1.1 eV) over all the investigated range, while in the n+/p diode an activation energy of about 0.42 eV is obtained at 330 K. The analysis of the forward characteristic of the diodes indicate that the p+ /n junctions have an ideal behavior, while the n+/p junctions have an ideality factor greater than one for all the temperature range of the measurements. TEM delineation results confirm that, in the case of As diffusion from CoSi2, the junction depth is not uniform and in some regions a Schottky diode is observed in parallel to the n+/p junction. Finally, from the C-V measurements, an increase of the diodes area of about a factor two is measured, and it is associated with the silicide/silicon interface roughness  相似文献   

8.
We present metal-gate high-k-dielectric enhancement-mode (e-mode) III-V MOSFETs with the highest reported effective mobility and transconductance to date. The devices employ a GaGdO high-k (k = 20) gate stack, a Pt gate, and a delta-doped InGaAs/AlGaAs/GaAs hetero-structure. Typical 1-mum gate length device figures of merit are given as follows: saturation drive current, Id,sat = 407 muA/mum; threshold voltage, Vt = +0.26 V; maximum extrinsic transconductance, gm = 477 muS/mum (the highest reported to date for a III-V MOSFET); gate leakage current, Ig = 30 pA; subthreshold swing, S = 102 mV/dec; on resistance, Ron = 1920 Omega-mum; Ion/Ioff ratio = 6.3 x 104; and output conductance, gd = 11 mS/mm. A peak electron mobility of 5230 cm2/V. s was extracted from low-drain-bias measurements of 20 mum long-channel devices, which, to the authors' best knowledge, is the highest mobility extracted from any e-mode MOSFET. These transport and device data are highly encouraging for future high-performance n-channel complementary metal-oxide-semiconductor solutions based on III-V MOSFETs.  相似文献   

9.
It is reported for that H2 plasma followed by O2 plasma is more effective for passivating grain boundary states in polysilicon thin film. Polysilicon thin-film transistors (TFTs) made after H2/O2 plasma treatment can exhibit a turn-on threshold voltage of -0.1 V, a subthreshold swing of 0.154 V/decade, an ON/OFF current ratio Ion/Ioff over 1×108, and an electron mobility of 40.2 cm2 /V-s  相似文献   

10.
The spectroscopic properties of Ho3+ laser channels in KGd(WO4)2 crystals have been investigated using optical absorption, photoluminescence, and lifetime measurements. The radiative lifetimes of Ho3+ have been calculated through a Judd-Ofelt (JO) formalism using 300-K optical absorption results. The JO parameters obtained were Ω2=15.35×10-20 cm2, Ω 4=3.79×10-20 cm2, Ω6 =1.69×10-20 cm2. The 7-300-K lifetimes obtained in diluted (8·1018 cm-3) KGW:0.1% Ho samples are: τ(5F3)≈0.9 μs, τ( 5S2)=19-3.6 μs, and τ(5F5 )≈1.1 μs. For Ho concentrations below 1.5×1020 cm-3, multiphonon emission is the main source of non radiative losses, and the temperature independent multiphonon probability in KGW is found to follow the energy gap law τph -1(0)=βexp(-αΔE), where β=1.4×10-7 s-1, and α=1.4×103 cm. Above this holmium concentration, energy transfer between Ho impurities also contributes to the losses. The spectral distributions of the Ho3+ emission cross section σEM for several laser channels are calculated in σ- and π-polarized configurations. The peak a σEM values achieved for transitions to the 5I8 level are ≈2×10-20 cm2 in the σ-polarized configuration, and three main lasing peaks at 2.02, 2.05, and 2.07 μm are envisaged inside the 5I75I8 channel  相似文献   

11.
Device performances of MOSFETs with SiO2-xFx gate oxides prepared by an extremely low-temperature (15°C) liquid phase deposition (LPD) method were investigated. The electrical characteristics, including threshold voltage of 2.1 V, peak effective mobility (μeff) of 525 cm2/V·s, and subthreshold swing of 134 mV/decade, show the devices exhibit comparable performance to other low-temperature processed MOSFETs. This demonstrates that LPD SiO2-xFx can be a suitable candidate for future gate insulators in low-temperature processed MOSFETs  相似文献   

12.
Polysilicon thin-film transistors (poly-Si TFT's) with liquid phase deposition (LPD) silicon dioxide (SiO2) gate insulator were realized by low-temperature processes (<620°C). The physical, chemical, and electrical properties of the new dielectric layer were clarified. The low-temperature processed (LTP) poly-Si TFT's with W/L=200 μm/10 μm had an on-off current ratio of 4.95×10 6 at VD=5 V, a field effect mobility of 25.5 cm 2/V·s at VD=0.1 V, a threshold voltage of 6.9 V, and a subthreshold swing of 1.28 V/decade at VD=0.1 V. Effective passivation of defects by plasma hydrogenation can improve the characteristics of the devices. The off-state current (IL) mechanisms of the LTP poly-Si TFT's were systematically compared and clarified. The IL is divided into three regions; the IL is attributable to a resistive current in region I (low gate bias), to pure thermal generation current in region II (low drain bias), and to Frenkel-Poole emission current in region III (high gate bias and drain bias)  相似文献   

13.
A leakage current model is presented which shows very good agreement with reported experimental results on gated diode structures with contemporary ULSI dimensions. The leakage current is modeled as the Shockley-Read-Hall generation current, enhanced by the Poole-Frenkel effect and trap-assisted tunneling. The model shows very good agreement on gate voltage, temperature, and oxide thickness dependence for the normal operating voltage range. It is found from the model that the doping range from 2×1018 to 1×1019 cm -3 gives the most significant degradation to the leakage characteristics in trench-type DRAM cells and the drain of MOSFETs  相似文献   

14.
Ultra thin high-k zirconium oxide (equivalent oxide thickness 1.57 nm) films have been deposited on strained-Si/relaxed-Si0.8Ge0.2 heterolayers using zirconium tetra-tert-butoxide (ZTB) as an organometallic source at low temperature (<200 °C) by plasma enhanced chemical vapour deposition (PECVD) technique in a microwave (700 W, 2.45 GHz) plasma cavity discharge system at a pressure of 66.67 Pa. The trapping/detrapping behavior of charge carriers in ultra thin ZrO2 gate dielectric during constant current (CCS) and voltage stressing (CVS) has been investigated. Stress induced leakage current (SILC) through ZrO2 is modeled by taking into account the inelastic trap-assisted tunneling (ITAT) mechanism via traps located below the conduction band of ZrO2 layer. Trap generation rate and trap cross-section are extracted. A capture cross-section in the range of 10−19 cm2 as compared to 10−16 cm2 in SiO2 has been observed. The trapping charge density, Qot and charge centroid, Xt are also empirically modeled. The time dependence of defect density variation is calculated within the dispersive transport model, assuming that these defects are produced during random hopping transport of positively charge species in the insulating layer. Dielectric breakdown and reliability of the dielectric films have been studied using constant voltage stressing. A high time-dependent dielectric breakdown (TDDB, tbd > 1500 s) is observed under high constant voltage stress.  相似文献   

15.
In this letter, we report the fabrication and characterization of self-aligned inversion-type enhancement-mode In0.53Ga0.47As metal-oxide-semiconductor field-effect transistors (MOSFETs). The In0.53Ga0.47As surface was passivated by atomic layer deposition of a 2.5-nm-thick AIN interfacial layer. In0.53Ga0.47As MOS capacitors showed an excellent frequency dispersion behavior. A maximum drive current of 18.5 muA/mum was obtained at a gate overdrive of 2 V for a MOSFET device with a gate length of 20 mum. An Ion/off ratio of 104, a positive threshold voltage of 0.15 V, and a subthreshold slope of ~165 mV/dec were extracted from the transfer characteristics. The interface-trap density is estimated to be ~7-8 times 1012 cm-2 ldr eV-1 from the subthreshold characteristics of the MOSFET.  相似文献   

16.
The use of aluminum oxide as the gate insulator for low temperature (600°C) polycrystalline SiGe thin-film transistors (TFTs) has been studied. The aluminum oxide was sputtered from a pure aluminum target using a reactive N2O plasma. The composition of the deposited aluminum oxide was found to be almost stoichiometric (i.e., Al2O3), with a very small fraction of nitrogen incorporation. Even without any hydrogen passivation, good TFT performance was measured an devices with 50-nm-thick Al2O3 gate dielectric layers. Typically, a field effect mobility of 47 cm2/Vs, a threshold voltage of 3 V, a subthreshold slope of 0.44 V/decade, and an on/off ratio above 3×105 at a drain voltage of 0.1 V can be obtained. These results indicate that the direct interface between the Al2 O3 and the SiGe channel layer is sufficiently passivated to make Al2O3 a better alternative to grown or deposited SiO2 for SiGe field effect devices  相似文献   

17.
The H2 cleaning technique was examined as the precleaning of the gate oxidation for 4H-SiC MOSFETs. The device had a channel width and length of 150 and 100 μm, fabricated on the p-type epitaxial layer of 3×1016 cm-3. The gate oxidation was performed after the conventional RCA cleaning, and H2 annealing at 1000°C. The obtained channel mobility depends on the pre-cleaning process strongly, and was achieved 20 cm2/N s in the H2 annealed sample. The effective interface-state density was also measured by the MOS capacitors fabricated on the same chips, resulting 1.8×1012 cm-2 from the photo-induced C-V method  相似文献   

18.
The performance of the first diode-pumped Yb3+-doped Sr 5(PO4)3F (Yb:S-FAP) solid-state laser is discussed. An InGaAs diode array has been fabricated that has suitable specifications for pumping a 3×3×30 mm Yb:S-FAP rod. The saturation fluence for diode pumping was deduced to be 5.5 J/cm 2 for the particular 2.8 kW peak power diode array utilized in our studies. This is 2.5× higher than the intrinsic 2.2 J/cm 2 saturation fluence as is attributed to the 6.5 nm bandwidth of our diode pump array. The small signal gain is consistent with the previously measured emission cross section of 6.0×10-20 cm2, obtained from a narrowband-laser pumped gain experiment. Up to 1.7 J/cm3 of stored energy density was achieved in a 6×6×44 mm Yb:S-FAP amplifier rod. In a free running configuration, diode-pumped slope efficiencies up to 43% (laser output energy/absorbed pump energy) were observed with output energies up to ~0.5 J per 1 ms pulse. When the rod was mounted in a copper block for cooling, 13 W of average power was produced with power supply limited operation at 70 Hz with 500 μs pulses  相似文献   

19.
A MOSFET using a serrated quantum wire structure that produces one-dimensional electron confinement shows excellent subthreshold characteristics and enhanced drive capability compared to a conventional MOSFET with a flat Si-SiO2 interface. We studied the quantum wire structure with its periodically bent Si-SiO2 interface using simulations. The potential in the convex regions of the silicon is 0.34 V higher than that in the concave ones when the bending angle is 90°, the bending period is 100 nm, substrate doping is 3.0×10 17 cm-3, and a gate voltage is 0.1 V. Because of this increase in potential in the convex regions, electrons are confined in a narrow width of 13 nm in the convex regions. This 1-D electron confinement effect by the bent Si-SiO2 interface is clearly observed at low gate voltage and is reduced as the gate voltage becomes higher. Due to the confinement effect, drain current in the MOSFET with this quantum wire structure is 270 times higher than that of a MOSFET with a flat Si-SiO2 interface at a gate voltage of 0.05 V. In addition, the short-channel effect is more effectively suppressed in this MOSFET than in a conventional MOSFET  相似文献   

20.
High performance enhancement mode InP MISFET's have been successfully fabricated by using the sulfide passivation for lower interface states and with photo-CVD grown P3N5 film used as gate insulator. The MISFET's thus fabricated exhibited exhibited pinch-off behavior with essentially no hysteresis. Furthermore the device showed a superior stability of drain current. Specifically under the gate bias of 2 V for 104 seconds the room temperature drain current was shown to reduce from the initial value merely by 2.9% at the drain voltage of 4 V. The effective electron mobility and extrinsic transconductance are found to be about 2300 cm 2/V·s and 2.7 mS/mm, respectively. The capacitance-voltage characteristics of the sulfide passivated InP MIS diodes show little hysteresis and the minimum density of interface trap states as low as 2.6×1014/cm2 eV has been attained  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号