首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Wang B  Ma X  Caffio M  Schaub R  Li WX 《Nano letters》2011,11(2):424-430
The nucleation and growth mechanisms of graphene on Rh(111) via temperature-programmed growth of C(2)H(4) are studied by scanning tunneling microscopy and spectroscopy, and by density functional theory calculations. By combining our experimental and first-principles approaches, we show that carbon nanoislands form in the initial stages of graphene growth, possessing an exclusive size of seven honeycomb carbon units (hereafter labeled as 7C(6)). These clusters adopt a domelike hexagonal shape indicating that bonding to the substrate is localized on the peripheral C atoms. Smoluchowski ripening is identified as the dominant mechanism leading to the formation of graphene, with the size-selective carbon islands as precursors. Control experiments and calculations, whereby coronene molecules, the hydrogenated analogues of 7C(6), are deposited on Rh(111), provide an unambiguous structural and chemical identification of the 7C(6) building blocks.  相似文献   

2.
We report an epitaxial growth of graphene, including homo- and hetero-epitaxy on graphite and SiC substrates, at a temperature as low as ∼540 °C. This vapour-phase epitaxial growth, carried out in a remote plasma-enhanced chemical vapor deposition (RPECVD) system using methane as the carbon source, can yield large-area high-quality graphene with the desired number of layers over the entire substrate surfaces following an AB-stacking layer-by-layer growth model. We also developed a facile transfer method to transfer a typical continuous one layer epitaxial graphene with second layer graphene islands on top of the first layer with the coverage of the second layer graphene islands being 20% (1.2 layer epitaxial graphene) from a SiC substrate onto SiO2 and measured the resistivity, carrier density and mobility. Our work provides a new strategy toward the growth of graphene and broadens its prospects of application in future electronics.   相似文献   

3.
High-quality epitaxial graphene on silicon carbide (SiC) is today available in wafer size. Similar to exfoliated graphene, its charge carriers are governed by the Dirac-Weyl Hamiltonian and it shows excellent mobilities. For many experiments with graphene, in particular for surface science, a bottom gate is desirable. Commonly, exfoliated graphene flakes are placed on an oxidized silicon wafer that readily provides a bottom gate. However, this cannot be applied to epitaxial graphene as the SiC provides the source material out of which graphene grows. Here, we present a reliable scheme for the fabrication of bottom-gated epitaxial graphene devices, which is based on nitrogen (N) implantation into a SiC wafer and subsequent graphene growth. We demonstrate working devices in a broad temperature range from 6 to 300 K. Two gating regimes can be addressed, which opens a wide engineering space for tailored devices by controlling the doping of the gate structure.  相似文献   

4.
Lu J  Yeo PS  Gan CK  Wu P  Loh KP 《Nature nanotechnology》2011,6(4):247-252
The fragmentation of fullerenes using ions, surface collisions or thermal effects is a complex process that typically leads to the formation of small carbon clusters of variable size. Here, we show that geometrically well-defined graphene quantum dots can be synthesized on a ruthenium surface using C(60) molecules as a precursor. Scanning tunnelling microscopy imaging, supported by density functional theory calculations, suggests that the structures are formed through the ruthenium-catalysed cage-opening of C(60). In this process, the strong C(60)-Ru interaction induces the formation of surface vacancies in the Ru single crystal and a subsequent embedding of C(60) molecules in the surface. The fragmentation of the embedded molecules at elevated temperatures then produces carbon clusters that undergo diffusion and aggregation to form graphene quantum dots. The equilibrium shape of the graphene can be tailored by optimizing the annealing temperature and the density of the carbon clusters.  相似文献   

5.
The formation of ordered arrays of molecules via self‐assembly is a rapid, scalable route towards the realization of nanoscale architectures with tailored properties. In recent years, graphene has emerged as an appealing substrate for molecular self‐assembly in two dimensions. Here, the first five years of progress in supramolecular organization on graphene are reviewed. The self‐assembly process can vary depending on the type of graphene employed: epitaxial graphene, grown in situ on a metal surface, and non‐epitaxial graphene, transferred onto an arbitrary substrate, can have different effects on the final structure. On epitaxial graphene, the process is sensitive to the interaction between the graphene and the substrate on which it is grown. In the case of graphene that strongly interacts with its substrate, such as graphene/Ru(0001), the inhomogeneous adsorption landscape of the graphene moiré superlattice provides a unique opportunity for guiding molecular organization, since molecules experience spatially constrained diffusion and adsorption. On weaker‐interacting epitaxial graphene films, and on non‐epitaxial graphene transferred onto a host substrate, self‐assembly leads to films similar to those obtained on graphite surfaces. The efficacy of a graphene layer for facilitating planar adsorption of aromatic molecules has been repeatedly demonstrated, indicating that it can be used to direct molecular adsorption, and therefore carrier transport, in a certain orientation, and suggesting that the use of transferred graphene may allow for predictible molecular self‐assembly on a wide range of surfaces.  相似文献   

6.
Large-scale uniform graphene growth was achieved by suppressing inhomogeneous carbon segregation using a single domain Ru film epitaxially grown on a sapphire substrate. An investigation of how the metal thickness affected growth and a comparative study on metals with different crystal structures have revealed that locally enhanced carbon segregation at stacking domain boundaries of metal is the origin of inhomogeneous graphene growth. Single domain Ru film has no stacking domain boundary, and the graphene growth on it is mainly caused not by segregation but by a surface catalytic reaction. Suppression of local segregation is essential for uniform graphene growth on epitaxial metal films.  相似文献   

7.
We demonstrate the growth of high quality graphene layers by chemical vapor deposition (CVD) on insulating and conductive SiC substrates. This method provides key advantages over the well-developed epitaxial graphene growth by Si sublimation that has been known for decades. (1) CVD growth is much less sensitive to SiC surface defects resulting in high electron mobilities of ~1800 cm(2)/(V s) and enables the controlled synthesis of a determined number of graphene layers with a defined doping level. The high quality of graphene is evidenced by a unique combination of angle-resolved photoemission spectroscopy, Raman spectroscopy, transport measurements, scanning tunneling microscopy and ellipsometry. Our measurements indicate that CVD grown graphene is under less compressive strain than its epitaxial counterpart and confirms the existence of an electronic energy band gap. These features are essential for future applications of graphene electronics based on wafer scale graphene growth.  相似文献   

8.
The growth of single‐crystal III‐nitride films with a low stress and dislocation density is crucial for the semiconductor industry. In particular, AlN‐derived deep‐ultraviolet light‐emitting diodes (DUV‐LEDs) have important applications in microelectronic technologies and environmental sciences but are still limited by large lattice and thermal mismatches between the epilayer and substrate. Here, the quasi‐van der Waals epitaxial (QvdWE) growth of high‐quality AlN films on graphene/sapphire substrates is reported and their application in high‐performance DUV‐LEDs is demonstrated. Guided by density functional theory calculations, it is found that pyrrolic nitrogen in graphene introduced by a plasma treatment greatly facilitates the AlN nucleation and enables fast growth of a mirror‐smooth single‐crystal film in a very short time of ≈0.5 h (≈50% decrease compared with the conventional process), thus leading to a largely reduced cost. Additionally, graphene effectively releases the biaxial stress (0.11 GPa) and reduces the dislocation density in the epilayer. The as‐fabricated DUV‐LED shows a low turn‐on voltage, good reliability, and high output power. This study may provide a revolutionary technology for the epitaxial growth of AlN films and provide opportunities for scalable applications of graphene films.  相似文献   

9.
Epitaxial graphene grown on single crystal Cu(111) foils by chemical vapor deposition is found to be free of wrinkles and under biaxial compressive strain. The compressive strain in the epitaxial regions (0.25–0.40%) is higher than regions where the graphene is not epitaxial with the underlying surface (0.20–0.25%). This orientation‐dependent strain relaxation is through the loss of local adhesion and the generation of graphene wrinkles. Density functional theory calculations suggest a large frictional force between the epitaxial graphene and the Cu(111) substrate, and this is therefore an energy barrier to the formation of wrinkles in the graphene. Enhanced chemical reactivity is found in epitaxial graphene on Cu(111) foils as compared to graphene on polycrystalline Cu foils for certain chemical reactions. A higher compressive strain possibly favors lowering the formation energy and/or the energy gap between the initial and transition states, either of which can lead to an increase in chemical reactivity.  相似文献   

10.
By utilizing the reduced contact area of nanowires, we show that epitaxial growth of a broad range of semiconductors on graphene can in principle be achieved. A generic atomic model is presented which describes the epitaxial growth configurations applicable to all conventional semiconductor materials. The model is experimentally verified by demonstrating the growth of vertically aligned GaAs nanowires on graphite and few-layer graphene by the self-catalyzed vapor-liquid-solid technique using molecular beam epitaxy. A two-temperature growth strategy was used to increase the nanowire density. Due to the self-catalyzed growth technique used, the nanowires were found to have a regular hexagonal cross-sectional shape, and are uniform in length and diameter. Electron microscopy studies reveal an epitaxial relationship of the grown nanowires with the underlying graphitic substrates. Two relative orientations of the nanowire side-facets were observed, which is well explained by the proposed atomic model. A prototype of a single GaAs nanowire photodetector demonstrates a high-quality material. With GaAs being a model system, as well as a very useful material for various optoelectronic applications, we anticipate this particular GaAs nanowire/graphene hybrid to be promising for flexible and low-cost solar cells.  相似文献   

11.
We present a review of experimental and theoretical results on the nucleation and growth of single-walled nanotubes, with particular emphasis on the growth of nanotube bundles emerging from catalyst particles obtained from evaporation-based elaboration techniques. General results are first discussed. Experiments strongly suggest a root-growth process in which carbon, dissolved at high temperatures in catalytic particles, segregates at the surface at lower temperatures to form tube embryos and finally nanotubes through a nucleation and growth process. A theoretical analysis of the reasons carbon does not always form graphene sheets to wrap the particles suggests analogies with other surface or interface instabilities, in particular, with those found in epitaxial growth. In the second part, detailed experimental results for nickel-rare earth metal catalysts are presented. By using various electron microscopy techniques, it is shown that carbon and the rare earth metal co-segregate at the surface of the particle and form carbide platelets, providing nucleation sites for nanotubes growing in directions perpendicular to the surface. A simple theoretical model is then presented in which the role of the rare earth metal is just to transfer electrons from metal to carbon. The graphene sheet is shown to become unstable; pentagons and heptagons are favored, which can explain the occurrence of local curvatures and of tube embryos. Finally, a brief discussion of some recent atomistic models is given.  相似文献   

12.
13.
Results of our recent experiments relating to the synchrotron radiation (SR) excited growth of Ⅱ-V compounds using metalorganic sources are described. We discuss mainly the growth characteristics of films in addition to the characterization of the deposited films. ZnTe epitaxial layer without carbon and oxygen contamination is attainable even at room temperature using SR as a light source. The quantum yield for forming ZnTe molecules was estimated to be higher than 3%. Through these experiments, we propose that the SR-excited growth is a powerful technique for a novel low temperature growth of compounds.  相似文献   

14.
Multilayer epitaxial graphene was obtained from a 6H-SiC(001) substrate subjected to a temperature gradient from 1250 to 1450?°C. Scanning tunneling microscopy and x-ray diffraction were used to identify the structure and morphology of the surface, from which the formation of a metastable phase was inferred. By a comparison between microscopy and diffraction data, we report the appearance of misoriented Si-doped graphene in cold regions (1250?°C) of the substrate. This metastable phase occurs in domains where silicon sublimation is incomplete and it coexists with small domains of epitaxial graphene. At 1350?°C this phase disappears and one observes complete graphene-like layers (although misoriented), where rotational registry between the underlying epitaxial graphene and additional layers is absent. At 1450?°C the stacking among layers is established and the formation of highly oriented single crystalline graphite is complete. The stability of this Si-rich metastable phase at 1250?°C was confirmed by first-principles calculations based on the density functional theory.  相似文献   

15.
A simple model is formulated and used to derive analytical expressions for the density of states in the epitaxial layer of graphene on a metal substrate and the occupation numbers for carbon atoms. Estimations of the charge transfer in this system are obtained for several metal substrates.  相似文献   

16.
We demonstrate the first successful growth of large-area (200 × 200 μm(2)) bilayer, Bernal stacked, epitaxial graphene (EG) on atomically flat, 4H-SiC (0001) step-free mesas (SFMs) . The use of SFMs for the growth of graphene resulted in the complete elimination of surface step-bunching typically found after EG growth on conventional nominally on-axis SiC (0001) substrates. As a result heights of EG surface features are reduced by at least a factor of 50 from the heights found on conventional substrates. Evaluation of the EG across the SFM using the Raman 2D mode indicates Bernal stacking with low and uniform compressive lattice strain of only 0.05%. The uniformity of this strain is significantly improved, which is about 13-fold decrease of strain found for EG grown on conventional nominally on-axis substrates. The magnitude of the strain approaches values for stress-free exfoliated graphene flakes. Hall transport measurements on large area bilayer samples taken as a function of temperature from 4.3 to 300 K revealed an n-type carrier mobility that increased from 1170 to 1730 cm(2) V(-1) s(-1), and a corresponding sheet carrier density that decreased from 5.0 × 10(12) cm(-2) to 3.26 × 10(12) cm(-2). The transport is believed to occur predominantly through the top EG layer with the bottom layer screening the top layer from the substrate. These results demonstrate that EG synthesized on large area, perfectly flat on-axis mesa surfaces can be used to produce Bernal-stacked bilayer EG having excellent uniformity and reduced strain and provides the perfect opportunity for significant advancement of epitaxial graphene electronics technology.  相似文献   

17.
In this work, the features of graphene layers are studied with the aim of preparing the thinnest layers possible. The graphene layers were prepared by the annealing of Ni/SiC structures. The main advantage of this process is a relatively low temperature compared with the method of graphene epitaxial growth on SiC and short annealing times compared with the chemical vapor deposition method. We prepared graphene layers from several Ni/SiC structures in which the Ni layer thickness ranged from 1 to 200 nm. The parameters of the annealing process (temperature, rate of temperature increase, annealing time) were modified during the experiments. The formed graphene layers were analyzed by means of Raman spectroscopy. From the spectra, the basic parameters of graphene, such as the number of carbon layers and crystallinity, were determined. The annealing of the Ni(200 nm)/SiC structure at 1080 °C for 10 s, produced graphene in the form of 3-4 carbon monolayers. The value was verified by X-ray Photoelectron Spectroscopy (XPS). Good agreement was achieved in the results obtained using Raman spectroscopy and XPS.  相似文献   

18.
Yang H  Feng X  Wang Q  Huang H  Chen W  Wee AT  Ji W 《Nano letters》2011,11(7):2622-2627
We present a quantum perturbation theory on two-photon absorption (2PA) in monolayer and bilayer graphene which is Bernal-stacked. The theory shows that 2PA is significantly greater in bilayer graphene than monolayer graphene in the visible and infrared spectrum (up to 3 μm) with a resonant 2PA coefficient of up to ~0.2 cm/W located at half of the bandgap energy, γ(1) = 0.4 eV. In the visible and terahertz region, 2PA exhibits a light frequency dependence of ω(-3) in bilayer graphene, while it is proportional to ω(-4) for monolayer graphene at all photon energies. Within the same order of magnitude, the 2PA theory is in agreement with our Z-scan measurements on high-quality epitaxial bilayer graphene deposited on SiC substrate at light wavelength of 780 and 1100 nm.  相似文献   

19.
A new model for graphene epitaxially grown on silicon carbide is proposed. Density functional theory modeling of epitaxial graphene functionalization by hydrogen, fluorine, methyl and phenyl groups has been performed, with hydrogen and fluorine showing a high probability of cluster formation in high adatom concentration. It has also been shown that the clusterization of fluorine adatoms provides midgap states in formation, due to significant flat distortion of graphene. The functionalization of epitaxial graphene using larger species (methyl and phenyl groups) renders cluster formation impossible, due to the steric effect, and results in uniform coverage with the energy gap opening.  相似文献   

20.
The direct growth of high‐quality, large‐area, uniform, vertically stacked Gr/h‐BN heterostructures is of vital importance for applications in electronics and optoelectronics. However, the main challenge lies in the catalytically inert nature of the hexagonal boron nitride (h‐BN) substrates, which usually afford a rather low decomposition rate of carbon precursors, and thus relatively low growth rate of graphene. Herein, a nickelocene‐precursor‐facilitated route is developed for the fast growth of Gr/h‐BN vertical heterostructures on Cu foils, which shows much improved synthesis efficiency (8–10 times faster) and crystalline quality of graphene (large single‐crystalline domain up to ≈20 µm). The key advantage of our synthetic route is the utilization of nickel atoms that are decomposed from nickelocene molecules as the gaseous catalyst, which can decrease the energy barrier for graphene growth and facilitate the decomposition of carbon sources, according to our density functional theory calculations. The high‐quality Gr/h‐BN stacks are proved to be perfect anode/protecting layers for high‐performance organic light‐emitting diode devices. In this regard, this work offers a brand‐new route for the fast growth of Gr/h‐BN heterostructures with practical scalability and high crystalline quality, thus should propel its wide applications in transparent electrodes, high‐performance electronic devices, and energy harvesting/transition directions.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号