首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
利用自感应耦合等离子(ICP)蚀刻机进行硅深层反应离子刻蚀,得到了几微米宽的狭槽,其轮廓通常为正锥形,即蚀刻槽的宽度随着蚀刻深度的增大而减小.然而,对一个宽槽来说,由于等离子区内边界层的变形,其蚀刻宽度会随着蚀刻深度的增加而增加.在许多应用中,硅蚀刻轮廓侧面的垂直状况是一个关键性问题.叙述了分离式垂直镜的加工过程;研究了影响蚀刻轮廓的各种重要参数.经过引入多步制法与优化激励源、基底偏压源及加工压力,减小了等离子区边界层内的变形,改善了轮廓的蚀刻状况.得到的结果为:120μm高垂直微镜垂直度为89.7°,200μm高垂直微镜垂直度为89.3°.  相似文献   

2.
Chemical etching of various materials has been observed when hydrogen plasmas are used in material processing. In the case of the deposition of diamond films the preferential etching of sp2 bonded carbon is considered to be of fundamental importance. A few papers have been published which have indicated that etching by hydrogen ions is different to that by hydrogen atoms. In this paper we describe the etching of silicon dioxide by hydrogen which was plasma-activated in a molybdenum-lined RF hollow cathode. The etch rate was seen to be thermally activated but decreased with increasing plasma power. The addition of a few percentage of helium increased the etch rate. The application of a − 50 V bias to the sample holder almost doubled the etch rate indicating the importance of ion bombardment for the chemical reaction. At high plasma powers and substrate temperatures in excess of 450 °C a thin molybdenum deposit was formed on the quartz samples.  相似文献   

3.
The reactive ion etching of silicon in SF6 plasma is considered. During the experiment, silicon substrates are etched in SF6 plasma at different pressures and energies of incident ions. High etching anisotropy is achieved decreasing the pressure in the reactor and increasing the energy of the bombarding ions. The obtained experimental measurements are compared with theoretical calculations. It is determined that the temperature of the sidewalls decreases with the decrease of concentration of F atoms due to suppressed plasmochemical etching of silicon. The etching anisotropy increases with the decrease of concentration of F atoms due to decreased desorption of SiF4 molecules.  相似文献   

4.
采用粒子网格(PIC)法与Monte Carlo碰撞(MCC)模型相结合的方法(PIC/MCC法),研究了在射频空心阴极放电系统中,负直流偏压(-10~-50 V)对放电特性的影响。通过模拟获得了在不同的外加负直流偏压下,空心电极孔内的电子密度、径向电场、轴向电场等参数的变化。计算结果表明,随着偏压从-10增加到-50 V,阴极孔内电子密度和径向、轴向电场逐渐增大;加偏压的孔内电子密度和径向、轴向电场比不加偏压的更大。从放电早期到达到稳定放电的过程中,电子逐渐从接地阳极附近移入空心电极孔内,孔内电子密度和径向、轴向电场随时间增长而增大;在同一时刻,放电系统施加偏压的孔内电子密度和径向、轴向电场比不加偏压的更大。达到稳定放电后,孔内电子密度和径向、轴向电场等不再发生变化。  相似文献   

5.
The etch characteristics of CoFeB magnetic films and magnetic-tunnel-junction (MTJ) stacks masked with Ti films were investigated using an inductively coupled plasma reactive ion etching in a HBr/Ar gas mix. The etch rate, etch selectivity, and etch profile of the CoFeB films were obtained as a function of the HBr concentration. As the HBr gas was added to Ar, the etch rate of the CoFeB films, and the etch selectivity to the Ti hard mask, gradually decreased, but the etch profile of the CoFeB films was improved. The effects of the HBr concentration and etch parameters on the etch profile of the MTJ stacks with a nanometer-sized 70 x 100 nm2 pattern were explored. At 10% HBr concentration, low ICP RF power, and low DC-bias voltage, better etch profiles of the MTJ stacks were obtained without redeposition. It was confirmed that the protective layer containing hydrogen, and the surface bombardment of the Ar ions, played a key role in obtaining a steep sidewall angle in the etch profile. Fine-pattern transfer of the MTJ stacks with a high degree of anisotropy was achieved using a HBr/Ar gas chemistry.  相似文献   

6.
量子限制效应使硅纳米线具有良好的场致发射特性,结合多孔硅的准弹道电子漂移模型可提高场发射器件的性能。传统的金属辅助化学刻蚀法制备硅纳米线的效率较低,本研究在传统方法的基础上引入恒流源,提出电催化金属辅助化学刻蚀法,高效制备了硅纳米线/多孔硅复合结构。在外加30mA恒定电流的条件下,硅纳米线的平均制备速率可达308nm/min,较传统方法提升了173%。研究了AgNO3浓度、刻蚀时间和刻蚀电流对复合结构形貌的影响规律;测试了采用电催化金属辅助化学刻蚀法制备样品的场发射特性。结果显示样品的阈值场强为10.83 V/μm,当场强为14.16 V/μm时,电流密度为64μA/cm2。  相似文献   

7.
We demonstrate wide-area fabrication of sub-40?nm diameter, 1.5?μm tall, high aspect ratio silicon pillar arrays with straight sidewalls by combining nanoimprint lithography (NIL) and deep reactive ion etching (DRIE). Imprint molds were used to pre-pattern nanopillar positions precisely on a 200?nm square lattice with long range order. The conventional DRIE etching process was modified and optimized with reduced cycle times and gas flows to achieve vertical sidewalls; with such techniques the pillar sidewall roughness can be reduced below 8?nm (peak-to-peak). In some cases, sub-50?nm diameter pillars, 3?μm tall, were fabricated to achieve aspect ratios greater than 60:1.  相似文献   

8.
Inductively coupled plasma reactive ion etching of titanium thin films patterned with a photoresist using Cl2/Ar gas was examined. The etch rates of the titanium thin films increased with increasing the Cl2 concentration but the etch profiles varied. In addition, the effects of the coil rf power, dc-bias voltage and gas pressure on the etch rate and etch profile were investigated. The etch rate increased with increasing coil rf power, dc-bias voltage and gas pressure. The degree of anisotropy in the etched titanium films improved with increasing coil rf power and dc-bias voltage and decreasing gas pressure. X-ray photoelectron spectroscopy revealed the formation of titanium compounds during etching, indicating that Ti films etching proceeds by a reactive ion etching mechanism.  相似文献   

9.
Etch characteristics of L10 FePt thin films masked with TiN films were investigated using an inductively coupled plasma (ICP) reactive ion etching in a CH3OH/Ar plasma. As the CH3OH gas was added to Ar, the etch rates of FePt thin films and TiN hard mask gradually decreased, and the etch profile of FePt films improved with high degree of anisotropy. With increasing ICP rf power and dc-bias voltage to substrate and decreasing gas pressure, the etch rate increased and the etch profile becomes vertical without any redepositions or etch residues. Based on the etch characteristics and surface analysis of the films by X-ray photoelectron spectroscopy, it can be concluded that the etch mechanism of FePt thin films in a CH3OH/Ar gas does not follow the reactive ion etch mechanism but the chemically assisted sputter etching mechanism, due to the chemical reaction of FePt film with CH3OH gas.  相似文献   

10.
Diamond-like carbon (DLC) films were prepared for a protective coating on nitinol substrate by hybrid ion beam deposition technique with an acetelene as a source of hydrocarbon ions. An amorphous silicon (a-Si) interlayer was deposited on the substrates to ensure better adhesion of the DLC films followed by Ar ion beam treatment. The film thickness increased with increase in ion gun anode voltage. The residual stresses in the DLC films decreased with increase in ion gun anode voltage and film thickness, while the stress values were independent of the radio frequency (RF) bias voltage. The adhesion of the DLC film was improved by surface treatment with argon ion beam for longer time and by increasing the thickness of a-Si interlayer.  相似文献   

11.
SiC材料的低速率浅刻蚀工艺研究   总被引:1,自引:1,他引:0  
对比研究了SiC材料在CF4+O2混合气体中的ICP刻蚀和RIE刻蚀,获得了刻蚀速率、刻蚀表面粗糙度随刻蚀功率、偏置功率、工作真空、氧含量等工艺条件的变化规律,研究结果表明,通过牺牲一定的刻蚀速率可以获得原子量级的刻蚀表面粗糙度,能够满足SiC微波功率器件研制的要求.  相似文献   

12.
Thin films of HfAlO3, a high-k material, were etched using inductively-coupled plasma. The dry etching mechanism of the HfAlO3 thin film was studied by varying the Cl2/Ar gas mixing ratio, RF power, direct current bias voltage, and process pressure. The maximum etch rate of the HfAlO3 thin film was 16.9 nm/min at a C12/(C12 + Ar) ratio of 80%. Our results showed that the highest etch rate of the HfAlO3 thin films was achieved by reactive ion etching using Cl radicals, due to the high volatility of the metal-chlorides. Consequently, the increased chemical effect caused an increase in the etch rate of the HfAlO3 thin film. Surface analysis by x-ray photoelectron spectroscopy showed evidence that Hf, Al and O reacted with Cl and formed nonvolatile metal-oxide compounds and volatile metal-chlorides. This effect may be related to the concurrence of chemical and physical pathways in the ion-assisted chemical reaction.  相似文献   

13.
A piecewise linear algorithm for predicting silicon etch rates in fluorine-based plasmas is shown. Discrete experimental data of pressure and RF power in reactive ion etching are used to construct a set of local two-dimensional etching functions that serve as a basis for computing numerical solutions (pressure and power values for a specific predicted silicon etch rate). It must be pointed out that, although the algorithm scans the entire data domain, a testing procedure is applied to ensure that the computing task will be invoked only when a solution exists, and otherwise it will be discarded (this avoids brute force methods). In the last step of the algorithm, all solutions are collected and interpolated to construct a solution path. In order to verify the match between the experimental etching results and numerical predictions, the algorithm has been coded and tested using Maple® Release 13.0, showing a successful validation with a difference between experimental data and computed numerical solutions as low as 1% for SF6, and 4% for SF6/O2 in the best case and a root-mean squared error of 0.03.  相似文献   

14.
《Vacuum》1991,42(14):927-931
An energy electrostatic analyser was used to study the energy distributions of ions impinging on the negatively biased substrate table of a dc diode sputtering system. The imfluence of the negative bias voltage (−50 to −200 V) was specifically examined in argon and argon-nitrogen discharges. The energy distributions were characterized by an important peak at the maximum energy and a tail with sometimes a secondary peak at lower energies. The tail and the secondary peak arose from charge exchange collisions in the sheath. When the argon pressure was increased, the secondary peak increased too. The secondary peak disappeared in an argon-nitrogen discharge and the tail was reduced.  相似文献   

15.
Da Chen  Dong Xu  Bo Zhao 《Vacuum》2008,83(2):282-285
The plasma produced by the mixture of fluoride and argon (SF6/Ar) was applied for the dry etching of AlN films. Very high etching rate up to 140 nm/min have been observed. The effects of the bias voltage and the plasma component on the etching results were investigated. It shows that AlN can be effectively etched by the plasma with the moderate SF6 concentration and the etching rate varies linearly with the bias voltage. The FTIR spectra confirm that AlF3 is formed due to the chemical reaction of Al and F atoms. The mechanism of AlN etching in F-based plasma is probably a combination between physical sputtering and chemical etching and can be briefly outlined: (i) F ions reacts with Al atoms to form low volatile product AlF3 and passivate the surface, and (ii) at the same time the Ar+ ions sputter the reaction product from the surface and keep it fluoride free to initiate further reaction. AlF3 formed on the patterned sidewall play a passivation role during the etching process. The etching process is highly anisotropic with quite smooth surface and vertical sidewalls.  相似文献   

16.
Cl2/Ar based inductively coupled plasma (ICP) etching of GaN is investigated using photoresist mask in a consequential restricted domain of pressure < 1.2 Pa and radio frequency (RF) sample power < 100 W, for selective mesa etching. The etch characteristics and root-mean-square (rms) surface roughness are studied as a function of process parameters viz. process pressure, Cl2 percentage in total flow rate ratio, and RF sample power at a constant ICP power, to achieve moderate GaN etch rate with anisotropic profiles and smooth surface morphology. The etch rate and resultant surface roughness of etched surface increased with pressure mainly due to dominant reactant limited etch regime. The etch rate and surface roughness show strong dependence on RF sample power with the former increasing and the later decreasing with the applied RF sample power up to 80 W. The process etch yield variation with applied RF sample power is also reported. The studied etch parameters result in highly anisotropic mesa structures with Ga rich etched surface.  相似文献   

17.
Carbon nanowires are fabricated by the Langmuir Blodgett (LB) method via the top down approach on amorphous carbon. Thick a-C films (500 nm to 1 microm) have been successfully deposited after the treatment on silicon. The anisotropic etching of carbon using reactive ion etching (RIE) has been verified giving near vertical sidewalls. The LB method for depositing monolayer requires a hydrophilic surface. Plasma treatment is being performed on the silicon oxide hard mask to reduce the surface energy thereby making the surface from hydrophobic to hydrophilic. PS balls which are being deposited by LB method have one disadvantage which is the low adhesion of the PS ball to the silicon oxide surface. This adhesion is being improved by subjecting the PS ball to annealing which changes the shape and increase the contact area between the PS balls and the silicon oxide surface. As carbon and PS ball is vulnerable to oxygen plasma, a modified recipe of CF4:Ar was being used to etch the silicon oxide hard mask. There is almost little chemical reaction of the CF4 on carbon and PS ball. Carbon nanowires were successfully fabricated using polystyrene (PS) balls of diameter 450 nm. Through a series of steps, carbon nanowire of 500 nm in length and diameter approximately 250 nm can be produced.  相似文献   

18.
This paper describes the results of using the GEC reference cell as a reactive ion etcher. Silicon wafers with layers of polysilicon and silicon dioxide on crystaline silicon patterned with photoresist have been investigated with fluorine and chlorine chemistries. Scanning electron microscopy (SEM), profilometry, and refraction techniques were used to determine the etch parameters such as etch rate, uniformity and selectivity. The discharges are in general monitored by measuring the optical emission spectroscopy and the bias voltages. For fluorine chemistries, etch rates ranged from 5 nm/min to 177 nm/min, and for chlorine chemistries, etch rates ranged from 25 nm/min to 90 nm/min. Depending upon the discharge and chemistry conditions, similar etch rates and etch patterns of different GEC cells were obtained. Etch rates and relative fluorine concentrations obtained from a commercial etcher were compared to the GEC reference cell and were found to be similar although the GEC cell generally gave lower etch rates than the commercial etcher.  相似文献   

19.
In this study, we carried out an investigation of the etching characteristics (etch rate, selectivity) of HfO2 thin films in the CF4/Ar inductively coupled plasma (ICP). The maximum etch rate of 54.48 nm/min for HfO2 thin films was obtained at CF4/Ar (=20:80%) gas mixing ratio. At the same time, the etch rate was measured as function of the etching parameters such as ICP RF power, DC-bias voltage, and process pressure. The X-ray photoelectron spectroscopy analysis showed an efficient destruction of the oxide bonds by the ion bombardment as well as an accumulation of low volatile reaction products on the etched surface. Based on these data, the chemical reaction was proposed as the main etch mechanism for the CF4-containing plasmas.  相似文献   

20.
In this study, we monitored the HfAlO3 etch rate and selectivity to SiO2 as a function of the etch parameters (gas mixing ratio, RF power, DC-bias voltage, and process pressure). A maximum etch rate of 52.6 nm/min was achieved in the 30% BCl3/(BCl3 + Ar) plasma. The etch selectivity of HfAlO3 to SiO2 reached 1.4. As the RF power and the DC-bias voltage increased, the etch rate of the HfAlO3 thin film increased. As the process pressure decreased, the etch rate of the HfAlO3 thin films increased. The chemical state of the etched surfaces was investigated by X-ray Photoelectron Spectroscopy (XPS). According to the results, the etching of HfAlO3 thin films follows the ion-assisted chemical etching mechanism.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号