首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到18条相似文献,搜索用时 267 毫秒
1.
基于DDR SDRAM 控制器时序分析的模型   总被引:3,自引:0,他引:3  
定义了时钟单位阶跃信号C(n),提出了一种利用带相对时钟坐标的逻辑方程表示逻辑信号的方法;通过对所设计的DDR SDRAM控制器的读写时序的分析,建立了控制器主要信号的时序表达式,并利用所建立的时钟逻辑方程对DDR控制器的读过程进行了简单的分析。这种方法可以应用到内存系统的带宽和延时估计方面,比较直观。  相似文献   

2.
DDR3SDRAM是第三代双倍数据传输速率同步动态随机存储器,DDR3具有高速率、低电压、低功耗等特点[1-2];在DDR3控制器的实际使用中,如何将用户需要存储的数据在DDR3中快速存储非常重要,如果数据被送到DDR3接口的速度低,则会影响DDR3的存储速度,同时影响DDR3的实际应用,因此,针对DDR3存储器设计存储控制有重要的意义[2];基于此设计主要分为低速读写控制与高速流读写控制,低速读写控制主要用于小数据量的操作,高速流读写控制主要用于批量数据的存储操作;此设计在FPGA上通过了大量数据读写的验证,证明数据存储的正确性;经过测试,在高速流读写模式下,DDR3存储控制设计的带宽利用率最大为66.4%;此设计在功能和性能上均符合系统总体设计的要求。  相似文献   

3.
为了解决视频图形显示系统中多个端口访问DDR3时出现的数据存储冲突问题,设计了一种基于FPGA的DDR3存储管理系统。DDR3存储器控制模块使用MIG生成DDR3控制器,只需通过用户接口信号就能完成DDR3读写操作。DDR3用户接口仲裁控制模块将中断请求分成多个子请求,实现视频中断和图形中断的并行处理。帧地址控制模块确保当前输出帧输出的是最新写满的帧。验证结果表明,设计的DDR3存储管理系统降低了多端口读写DDR3的复杂度,提高了并行处理的速度。  相似文献   

4.
DDR2 SDRAM是第二代双倍数据传输速率同步动态随机存储器,以其大容量、高速率和良好的兼容性得到了广泛应用。DDR2芯片的控制较为复杂,为了解决DDR2芯片的驱动及功能验证问题,在介绍了其特点和工作机制的基础上,提出了一种简化的工作流程图,进而给出该控制器的总体设计、FPGA器件的引脚分配及验证方法。其中验证方法采用Verilog HDL硬件描述语言构建了DDR2控制器IP软核的测试平台,通过ModelSim软件对DDR2仿真模型测试无误后,再使用QuartusII软件的嵌入式逻辑分析仪工具SignalTap II抓取FPGA开发板实时信号。开发板上的验证结果表明:DDR2芯片初始化成功;其引脚上有稳定的读写数据;在双沿时钟频率200MHz下,写入数据和读出数据一致。故DDR2控制器设计达到要求,且控制器接口简单、工作稳定、移植性强。  相似文献   

5.
为了提高内存数据的可靠性,内存保护技术正广泛应用在高端容错计算机中。为此,提出了以现场可编程门阵列(FPGA)为控制器实现一拖二的内存热备份技术,对内存数据进行高效的保护。分析FPGA内部接口IP的延时后,提出了采用FPGA原语实现双倍数据速率(DDR)数据的采集与处理方法。搭建了以镁光的同步动态随机存取存储器(SDRAM)颗粒为控制对象的仿真模型,验证了该方法的有效性。阐述了以赛灵思公司的FPGA芯片做主控器,实现内存热备份功能的应用实例。该方法不仅可有效保护内存数据,由于FPGA的可编程性,使计算机系统具备了在线扩展(容量)、在线升级内存的功能,可以满足特殊行业不宕机、实时容错的要求。  相似文献   

6.
针对当前遥感系统存储器早期控制模块存在控制效率低的问题,提出了基于FPGA的遥测系统数据存储器控制模块设计。根据模块总体设计方案,设计模块结构和功能。其中模块结构是由自体测试接口模块、低速读写控制模块、高速流读写控制模块组成的,以SATA2.0接口为存储介质设计控制器,构建不同帧,进行数据间传输转换。设计稳态触发接口电路,达到高速流读写控制触发目的。根据软件主流程,在组合逻辑中插入寄存器使逻辑延迟,实现FPGA时序控制。采用分时操作方法,对命令层中控制器读写模式进行控制,实现传输层完成帧的控制收发。由实验结果可知,该模块最高控制效率优于传统模块,为数据高效存储提供支持。  相似文献   

7.
针对DDR3的灵活性与使用效率问题,提出了一种DDR3上的双读写通道设计与实现策略。在DDR3上切割出两套独立读写通道,基于时分复用(TDM)原则,使每套读写状态互不影响,能按要求完成用户任意读写指令。设计了一种时分复用桥接电路,通过数据切换器,使两套用户读写接口分时的与DDR3控制器接口相连接。将DDR3 IP核MIG提供的一套控制接口搭建为两套独立的用户读写接口,分时间片轮循执行用户读写命令,能总体实现对DDR3的同时读写操作。板级测试表明,DDR3内部读写时钟达800 MHz,外部用户接口操作时钟达200 MHz,用户数据位宽达256 bit,能满足用户同时读写的要求。该策略有助于解决各种高速实时数据缓存问题,具有广泛的应用价值。  相似文献   

8.
给出了由(2,1,N)系列卷积码Viterbi译码中路径度量存储器及其接口的使用FPGA实现时的设计方法,译码器采用四个ACS并行运算的方式,状态度量的更新采用乒乓模式,阐述了存储器的分块方法和读写地址及读写时钟的确定。设计充分利用了FPGA内存资源丰富的特点,具有较高的译码速度和简单的控制逻辑。  相似文献   

9.
目前各大电视、显示器厂商的DisplayPort高速接口方案主要是采用基于台湾晨星或者ST等DisplayPort处理芯片设计的,而采用该方案若需要增加新的图像数据传输功能或者改变某一项性能却显得尤为困难。本文采用基于FPGA的DisplayPort高速接口设计方案,即利用FPGA将设计DisplayPort的高速接收接口与发送接口,实现将一幅分辨率为3840×2160的4K图像信号通过DisplayPort接口接收,并将图像数据通过DDR3控制模块保存到SDRAM芯片中,最终从DisplayPort接口的发送端发送到高清4K高清显示器进行显示。本文详细介绍了DisplayPort数据处理硬件电路过程,并介绍了FPGA的DDR3设计及DisplayPort接口程序设计流程,最后通过试验验证设计的正确性。  相似文献   

10.
基于PCI-E总线的高速数据传输卡的设计与实现   总被引:1,自引:0,他引:1  
介绍了用于改善合成孔径雷达数据回放模块性能的高速数据传输卡的设计与实现;传输卡通过PCI Express总线与主机进行数据交互,配置两组DDR2SDRAM进行乒乓操作实现大容量高速缓存,在输入、输出数据传输率不匹配的情况下保证数据传输稳定、可靠;选用PLX公司的接口芯片PEX8311实现PCI Express总线接口功能,FPGA逻辑实现DDR2SDRAM控制器;测试结果表明,传输板数据传输率不低于100MB/s,工作状态稳定,达到了预期指标,具有一定的实用性和良好的应用前景。  相似文献   

11.
为了满足多个设备同时存取高速数据的需求,介绍了利用Xilinx高性能可编程逻辑器件Virtex6 FPGA实现高速实时多端口DDR3 SDRAM控制器的原理和方法,在一个实时图像处理系统平台上实现了对单片SO-DIMM DDR3内存条的多设备实时访问控制。通过ChipScope工具采样输入输出数据,验证其可行性,分析计算出端口速率和其他主要时间参数。实验结果显示高速实时多端口SDRAM控制器具有集成度高、传输带宽高、功耗低的优点。在多设备同时读写高速数据的系统中具有很高的实用价值。  相似文献   

12.
DDR SDRAM是FPGA板卡中的重要组成部分,其可靠性与带宽决定了设备能否正常工作;为了测试DDR SDRAM的性能是否符合预期,开发了一种基于FPGA的DDR SDRAM测试平台;平台包含一个基于DDR SDRAM控制器的测试器IP核,具有数据校验、带宽测量的功能;编写了控制测试器IP核的Tcl脚本,用于配置测试参数、控制测试流程与读取测试结果;在Python语言下使用PyQt5开发库设计了图形界面程序,能够根据用户操作生成并执行对应的Tcl脚本;最终实现了一个操作简单、测试流程可配置、自动输出测试结果的DDR SDRAM测试平台;测试结果表明,测试平台能够正确地进行DDR SDRAM测试并输出统计结果;对比MIG的示例工程,测试平台额外增加了带宽测试、结果统计、循环测试等功能,且使用的FPGA资源下降了30%,测试用时缩短了70%以上。  相似文献   

13.
基于FPGA的图像采集与VGA显示系统   总被引:5,自引:0,他引:5  
朱奕丹  方怡冰 《计算机应用》2011,31(5):1258-1261
针对传统的PCI图像采集卡的弊端,利用Altera公司的DE2开发平台,设计了基于现场可编程门阵列(FPGA)的图像采集与VGA显示系统。该系统以嵌入了NiosⅡ软核的可编程逻辑芯片FPGA作为控制器,以图像传感器、数字存储器、视频D/A转换器、VGA显示接口等作为FPGA外设,利用可编程片上系统(SOPC)技术实现对FPGA及其外设的编程与控制,最终实现对实时图像的采集、处理与显示。设计结果表明,利用SOPC技术实现的电子系统具有设计方法灵活高效、可移植性强、易于实现高速数据采集、通用性好等优势。  相似文献   

14.
基于FPGA与DDR2 SDRAM的大容量异步FIFO缓存设计   总被引:2,自引:0,他引:2  
为了满足高速实时数据采集系统对所采集海量数据进行缓存的要求,通过研究FIFO的基本工作原理,利用FPGA和DDR2 SDRAM设计了一种高速大容量异步FIFO。使用Xilinx提供的存储器接口生成器(MIG)实现FPGA与DDR2的存储器接口,并结合片上FIFO和相应的控制模块完成FIFO的基本框架结构。详细介绍了各个组成模块的功能和原理,并设计了专门的测试模块。  相似文献   

15.
高存储深度是高端数字存储示波器的发展方向之一,应用DDR2存储器能够提高数字示波器的存储深度。本文中,设计并实现了采角IGbitDDR2的最大存储深度为256MB的深存储系统,作者介绍了利用MIG软件工具在Xilinx的Spartan一6系列FPGA中实现DDR2控制器的设计方法,详细叙述了其基本原理并给出了硬件测试结果。  相似文献   

16.
基于IP核的PCI Express接口   总被引:1,自引:0,他引:1       下载免费PDF全文
徐天  何道君  徐金甫 《计算机工程》2009,35(24):239-241
提出一种基于IP核的PCI Express接口设计方案,采用分层的体系结构,具有高性能、高可靠性、软件兼容等特点。在Xilinx公司的xc5vlx30t FPGA器件中,运用PCI Express硬核端点模块,设计并实现了PCI Express总线接口,同时在接口内部设计了DMA控制器。仿真实验结果表明,该接口能够有效提高总线的数据传输速率,充分发挥总线的性能。  相似文献   

17.
基于FPGA的PCI从接口设计   总被引:1,自引:0,他引:1  
介绍一种使用PCIMegaCore在FPGA上实现PCI从接口的方法,该方法可以将PCI接口逻辑和用P逻辑集成在一片FPGA上,实现紧凑的系统设计。重点介绍Altera公司提供的PCI_t32 MegaCore的内部结构和外围信号,分析了PCI总线交易时序,给出使用有限状态机设计本地控制逻辑的方法,并进行了时序仿真,最后使用PCI接口调试软件PCItree对所设计的PCI板卡进行了性能验证。时序仿真和实验的结果表明所设计的PCI从接口符合PCI总线3.0规范。  相似文献   

18.
DDR SDRAM存储器已经得到广泛的应用。本文详细分析了DDR SDRAM控制器的结构和关键技术,并介绍了基于Altera FPGA的DDR SDRAM控制器实现。我们在深入分析DDR存储控制器工作原理及其内部结构后,直接使用Altera公司提供的IP核,在QuartusⅡ5.0开发环境中调用MegaCore(Altera公司的IPcore),根据具体应用需求进行了DDR SDRAM控制器的设计并加以实现。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号