首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
W.S. Woon 《Thin solid films》2009,517(8):2808-8
The physical and electronic properties of 100-120-nm thick anodic silicon dioxide film grown on p-type 4H-SiC wafer and annealed at different temperatures (500, 600, 700, and 800 °C) have been investigated and reported. Chemical bonding of the films has been analyzed by Fourier transform infra red spectroscopy. Smooth and defect-free film surface has been revealed under field emission scanning electron microscope. Atomic force microscope has been used to study topography and surface roughness of the films. Electronic properties of the film have been investigated by high frequency capacitance-voltage and current-voltage measurements. As the annealing temperature increased, refractive index, dielectric constant, film density, SiC surface roughness, effective oxide charge, and leakage current density have been reduced until 700 °C. An increment of these parameters has been observed after this temperature. However, a reversed trend has been demonstrated in porosity of the film and barrier height between conduction band edge of SiO2 and SiC.  相似文献   

2.
Ohmic contacts to the top p-type layers of 4H-SiC p+–n–n+ epitaxial structures having an acceptor concentration lower than 1×1019 cm−3 were fabricated by the rapid thermal anneal of multilayer Al/Ti/Pt/Ni metal composition. The rapid thermal anneal of multilayer A1/Ti/Pt/Ni metal composition led to the formation of duplex cermet composition containing Ni2Si and TiC phases. The decomposition of the SiC under the contact was found to be down to a depth of about 100 nm. The contacts exhibited a contact resistivity Rc of 9×10−5 Ω cm−2 at 21°C, decreasing to 3.1×10−5 Ω cm−2 at 186°C. It was found that thermionic emission through the barrier having a height of 0.097 eV is the predominant current transport mechanism in the fabricated contacts.  相似文献   

3.
The possibility of barium titanate (BaTiO3) application in silicon carbide (SiC) technology has been elaborated in terms of the dielectric film quality and properties of the BaTiO3/4H-SiC interface. High resistivity, high-k thin films containing La2O3 admixture were applied as gate insulator of metal-insulator-semiconductor (MIS) structure. The thin films were deposited by means of radio frequency plasma sputtering (RF PS) of sintered BaTiO3 + La2O3 (2 wt.%) target on 8° off-axis 4H-SiC (0001) epitaxial layers doped with nitrogen. The results of current-voltage and capacitance-voltage measurements are presented for MIS capacitors.  相似文献   

4.
G. Battistig 《Thin solid films》2012,520(6):1973-1977
Cubic SiC nanocrystals are formed epitaxially and void-free on single crystal Si substrate by reactive annealing in CO. In this study characterization of the nucleation, growth and morphology is presented on differently oriented single crystal Si substrates. It is found that SiC nanocrystals of various shape can be grown in different densities on the (100), (110) and (111) Si surfaces with an average size of 30-60 nm. Effect of annealing time, CO concentration, substrate orientation and crystal size on crystallite growth is discussed. Parameters to obtain increased SiC nucleation density are determined.  相似文献   

5.
采用Ti/Ni/Au多层金属在高掺杂n型4H-SiC外延层上制作了欧姆接触测试图形,通过传输线法(Transmission Line Method,TLM)测量得到的最小比接触电阻为1.4×10-5Ω·cm2,经500℃N2老化后接触电阻大约有一个数量级的增加并保持稳定.  相似文献   

6.
A non-destructive, absorption measurement based optical method has been developed in order to determine doping type (n- or p-type), doping level and doping level distribution in 4H and 6H silicon carbide (SiC) wafers. The bandgap absorption has been calculated numerically taking into account band filling, band shrinkage and band tailing effects which are a function of donor and acceptor concentration ND and NA, respectively. The numerical results are compared with experimental data. A calibration plot of the doping dependence of the absorption of n-type 6H SiC is presented and the application for mapping of the SiC wafer doping level distribution is demonstrated.  相似文献   

7.
Metal-oxide-semiconductor characteristics of thermally grown nitrided SiO2 (9-11.5 nm) on n-type 4H-SiC at 1175 °C in various N2O ambient (1, 10, and 50% N2O mixed with 99, 90, and 50% of high purity N2 gas, respectively) have been investigated. The chemical composition of oxide-semiconductor interface has been evaluated by X-ray photoelectron spectroscopy. The interfacial layer consists of either silicon oxynitride, silicon nitride, and/or silicon oxide phases that may be segregated or mixed in a single layer. Depending on the percentage of N2O being used, the stoichiometry may vary accordingly. The lowest leakage current density is recorded for thin film oxide grown in10% N2O and it is limited to an applied electric field of not more than 7 MV/cm. This is attributed to the lowest density value of deep oxide trap in this sample if compared with others. The highest dielectric breakdown field has been obtained for thin film oxide grown in 50% N2O as this sample is having the lowest interface trap density and negative effective oxide charge. The origin of these charges is explained in the text.  相似文献   

8.
K. Saito  Y. Uchiyama  K. Abe 《Thin solid films》2003,430(1-2):287-291
Using the catalytic chemical vapor deposition (Cat-CVD) method, a-Si and SiNx films have been the main focus of studies. SiO2 films have not been studied because of the limited life of catalysts such as tungsten or molybdenum in an oxidative atmosphere. In this report, we describe oxide film preparation using an iridium catalyst. We determined the most appropriate catalyst material for the oxide film process by exposing heated materials in tetraethoxysilane (TEOS) or O2 gas. As the result, it was confirmed that the Ir catalyst works in a slow oxidative atmosphere. Using the Ir catalyst, SiO2 films were deposited in two gas combinations: TEOS and N2O, and SiH4 and N2O. Although the SiO2 film processed with the combination of TEOS and N2O was stoichiometric, its breakdown voltage is not sufficient. The SiO2 film processed with the combination of SiH4 and N2O showed good electrical property.  相似文献   

9.
Jen-Hwan Tsai 《Vacuum》2012,86(12):1983-1987
In this study, by using a conventional thermal annealing (CTA), the obviously near-infrared shift and intensity amplification of room-temperature photoluminescence (PL) spectrum could be observed from the 3 × 1016 cm−2 Si+-implanted 400-nm-thick SiO2 films after rapid thermal annealing (RTA) at 1150 °C in dry nitrogen. For isothermal RTA durations ≥20 s at the heating rate of 100 °C/s, the PL peaks from the only RTA-treated films were detected around 1.7 eV and, for 1050 °C CTA durations between 1 and 3 h, no significant PL could be found from the only CTA-treated films. However, when annealing the RTA-treated films with the CTA for only 1 h, then, we varied the terminal PL-peak from 1.7 to 1.5 eV and obviously increased their respective intensities from the films. These results are attributed to the variation of silicon nano-crystals embedded in SiO2 film.  相似文献   

10.
Silicon oxynitride [SiO2:N] thin films have been grown by oxidizing silicon in N2O at 900, 1000 and 1100 °C and at 760 and 1520 torr. It is shown that the dominant electrical conduction mechanism, for high electric fields, is the field assisted thermionic emission from the traps (Poole-Frenkel effect), and is not direct or Fowler-Nordheim tunneling, as typically occurs in thermal silicon oxide with similar thickness. Electrical conduction in these films occurs by field assisted electron emission from donor traps with energy levels varying in the range from 0.5 to 1 eV from the conduction band. The results shown here indicate that the best quality films are those grown at low temperature and pressure, since they give films with a higher critical electric field, a higher energy barrier depth at the traps and less donors compensated by acceptors than those grown at high temperatures and pressures.  相似文献   

11.
Silicon carbide (SiC) thin films were prepared by hot-wire chemical vapor deposition from SiH4/CH4/H2 and their structural properties were investigated by X-ray diffraction, Fourier transform infrared absorption and Raman scattering spectroscopies. At 2 Torr, Si-crystallite-embedded amorphous SiC (a-Si1 − xCx:H) grew at filament temperatures (Tf) below 1600 °C and nanocrystalline cubic SiC (nc-3C-SiC:H) grew above Tf = 1700 °C. On the other hand, At 4 Torr, a-Si1 − xCx:H grew at Tf = 1400 °C and nc-3C-SiC grew above Tf = 1600 °C. When the intakes of Si and C atoms into the film per unit time are almost the same and H radicals with a high density are generated, which takes place at high Tf, nc-3C-SiC grows. On the other hand, at low Tf the intake of Si atoms is larger than that of C atoms and, consequently, Si-rich a-Si1 − xCx:H or Si-crystallite-embedded a-Si1 − xCx:H grow.  相似文献   

12.
Dense short silicon carbide (SiCsf) and carbon fibers (Csf) reinforced BaAl2Si2O8 (BAS) glass-ceramic composites with silicon nitride were fabricated by hot-pressing technique. The phase characterization, microstructure, mechanical properties and fracture behavior of the composites were investigated by X-ray diffraction, scanning electron microscopy, transmission electron microscopy and three-point bending tests. The results showed that short silicon carbide and carbon fibers disperse homogeneously in BAS matrix, and had good chemical compatibility with the glass-ceramic matrix. The addition of Si3N4 could successfully eliminate the microcracks in the BAS matrix induced by the thermal mismatch between the fiber and matrix. Both the added short fibers could effectively reinforce the BAS glass-ceramic by the associated toughening mechanisms such as crack deflection, fiber bridging and pullout effects.  相似文献   

13.
The performance of ZnO thin film transistors (TFT) subjected to SiO2 interlayer treatments on Si3N4 insulators was investigated. In the case of a SiO2 interlayer of 10 nm on Si3N4 insulator, a drastic improvement in device performance was obtained. ZnO TFT with this interlayer showed reduced trap density between the Si3N4 and ZnO channel, bringing remarkable improvement in bias stability characteristics. These devices show good performance and exhibit a high field-effect mobility of 6.41 cm2/Vs, an on/off current ratio of 108, and a subthreshold swing of 1.46 V/decade. Also, the turn-on voltage shifted from − 2 V to − 6 V with negligible changes in the subthreshold swing and field effect mobility after total stress time.  相似文献   

14.
G. V Gadiyak   《Thin solid films》1999,350(1-2):147-152
A simple model of thermal dissociation and recovery of hydrogen-passivated silicon defects at the Si/SiO2 interface, such as Pb - centers, during vacuum thermal annealing has been suggested. his model considers reactions of hydrogen with defect states at the Si/SiO2 interface and diffusion of liberated atomic and molecular hydrogen in a silicon dioxide film. The rate constants were calculated in diffusion approximation. A good agreement was obtained between the experimental and numerical simulation results for oxides with different thickness (204–1024 Å), grown, both, (111) and (100) samples and annealed in the temperature range (480–700°C).  相似文献   

15.
Aerosol-gel process has been used for the deposition of SiO2 thin films. Layers were deposited from a solution with pH = 3.5 and water to TEOS molar ratio (rw) 2.2 and then treated at various temperatures ranging from room temperature to 700°C. As-prepared thin films have been characterized by FTIR spectroscopy. Spectra were acquired in transmission at 65° angle of incidence or at perpendicular incidence. Characteristic absorption bands of the SiO2 sol-gel system have been studied with respect to the posttreatment temperature. Bands located at 1250–1000 cm−1 and around 960 cm−1 have been deconvoluted in several peaks. The origin and temperature dependence of these peaks are discussed.  相似文献   

16.
Specular X-ray reflectivity from SiO2 thin films prepared on silicon substrates by plasma-enhanced chemical vapor deposition showed the films to have a characteristic width of the decay in density at the free surface of 17 Å, to be about three-quarters the density of -quartz, and to have an interfacial layer at the silicon interface that was of the order of 100 Å wide and less dense than the bulk of the film. After chemical-mechanical polishing the characteristic width of the decay in density at the free surface was reduced to 10 Å; furthermore, the near-surface region to a depth of 30 Å had a greater density than the as-deposited film. Off-specular reflectivity confirmed that the decrease in characteristic width at the free surface was due to reduced roughness upon polishing and also revealed that the lateral correlation length in the limit of long wavelengths was the same for both polished and unpolished samples. The compression of the near-surface region during polishing is believed to enhance the dissolution of SiO2 into the slurry which is necessary to achieve smooth surfaces.  相似文献   

17.
An n-type 4H-SiC substrate has been doped with gallium using a continuous wave Nd:YAG laser to heat the sample to high temperatures but below the peritectic temperature of SiC. Mathematical models have been presented for the temperature and Ga concentration distributions in the sample. The Ga atoms, which are produced due to the thermal decomposition of a metallorganic precursor, diffuse into the sample by the solid-phase diffusion process at high temperatures. This process is modeled by considering the temperature-dependent diffusion coefficient and the Ga concentration profile was measured by the secondary ion mass spectrometry (SIMS). The concentration of Ga (6.25 × 1020 cm−3) at the substrate surface was found to exceed the solid solubility limit (1.8 × 1019 cm−3) of Ga in SiC. Comparing the SIMS data to the results of the diffusion model, the activation energy, pre-exponential factor and diffusion coefficient of Ga were determined for different doping conditions. Four doped samples were produced by scanning the samples with a laser beam for different number of passes. The sample prepared with four passes showed the highest diffusion coefficient of 5.53 × 10−7 cm2/s with activation energy 1.84 eV and pre-exponential factor 1.05 × 10−2 cm2/s. The diffusion coefficient is five orders of magnitude higher than the typical diffusion coefficient of Ga in SiC. This indicates that the laser doping process enhances the diffusion coefficient of dopant significantly.  相似文献   

18.
Niobium was deposited as an electrode material on an n-type SiC wafer for power device application. The reaction microstructure and electrical contact property were investigated after annealing at 700 to 1000 °C and compared with the results for an Ni electrode. Microstructure-related problems of the Ni electrode could be resolved without sacrificing ohmic contact behavior with a low contact resistivity of 1.53 × 10− 4 Ω cm2. Carbon precipitation was completely eliminated with Nb by the formation of carbides, leading to good adhesion upon wire bonding process. At the reaction interface, Nb5Si3 was formed in an epitaxial relationship with SiC, leading to a good interface contact property as well as good interface adhesion.  相似文献   

19.
La0.7Sr0.3MnO3 thin films were deposited on SiO2/Si substrates by RF magnetron sputtering under different oxygen gas flow rates with a sputtering power of 100 W. During deposition, the substrate was heated at 623 K. To investigate post-annealing effects, the as-deposited La0.7Sr0.3MnO3 thin films were thermal-treated at 973 K for 1 h. The effects of oxygen gas flow rate and post-annealing treatment on the physical properties of the films were systematically studied. X-ray diffraction results show that the growth orientation and crystallinity of the films were greatly affected by the oxygen gas flow rate and substrate heating during deposition. The sheet resistance of the films gradually decreased with increasing oxygen gas flow rate, while the post-annealed films showed the opposite behavior. The temperature coefficient of resistance at 300 K of La0.7Sr0.3MnO3 thin films deposited at an oxygen gas flow rate of 40 sccm decreased from − 2.40%/K to − 1.73%/K after post annealing. The crystalline state of the La0.7Sr0.3MnO3 thin films also affected its electrical properties.  相似文献   

20.
In this work, we report on effects of post-deposition annealing on electrical characteristics of metal–insulator–semiconductor (MIS) structures with HfO2/SiO2 double gate dielectric stacks. Obtained results have shown the deterioration of electro-physical properties of MIS structures, e.g. higher interface traps density in the middle of silicon forbidden band (Ditmb), as well as non-uniform distribution and decrease of breakdown voltage (Ubr) values, after annealing above 400 °C. Two potential hypothesis of such behavior were proposed: the formation of interfacial layer between hafnia and silicon dioxide and the increase of crystallinity of HfO2 due to the high temperature treatment. Furthermore, the analysis of conduction mechanisms in investigated stacks revealed Poole–Frenkel (P–F) tunneling at broad range of electric field intensity.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号