首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
黄清泉  洪沙  吴垣甫 《计算机工程》2008,34(22):236-238
在总线的主设备上增设了实时操作系统的任务优先级分配机制,基于蒙特卡罗选择实现总线仲裁器的仲裁策略,建立不同类型的从设备存储器模型。运用SystemC在交易级实现整个总线系统模型,并对该模型进行仿真。实验结果证实了仲裁算法的有效性。  相似文献   

2.
利用现场可编程门阵列(FPGA)设计PCI总线仲裁器,以适应各种不同要求的应用场合。遵循总线仲裁循环优先级算法原则.选用分布式仲裁结构.利用VHDL语言将PCI总线、总线仲裁器和功能模块进行联合优化设计.实现基于FPGA的PCI总线仲裁器。  相似文献   

3.
循环优先仲裁算法具有现实公平的特点,介绍了两级循环优先仲裁算法,并给出了该算法在PCI总线仲裁器上的实现方案。将PCI总线主设备分为优先权不同的四个层次,通过对各层次总线主设备的检测实现仲裁。由于采用了设备申请号寄存器组记录总线状态,避免了复杂状态机的设计,该方案可灵活应用于不同数量设备的PCI系统,具有很好的可扩展性。  相似文献   

4.
基于EPLD的PCI总线仲裁器的设计与实现   总被引:10,自引:0,他引:10  
以自行研制开发的 PCI高速总线背板为背景,系统地论述了 PCI总线的仲裁机制、总线的缺省占用、仲裁信号协定及优先级仲裁算法,给出了采用 E P L D实现仲裁器功能的编程设计。  相似文献   

5.
基于总线的SoC存在多模块对总线的抢占问题,仲裁机制可解决抢占引起的冲突和竞争,提高系统性能,为此提出一种自调整附加权动态仲裁算法.首先在每轮仲裁开始时预先判断工作环境,针对不同工作环境改进请求信号,判定工作重点是快速响应或复杂目的带宽分配;然后结合RR仲裁算法和FP仲裁算法的优势完成仲裁.在NINP模型下,采用65 nm CMOS工艺的Xilinx Virtex5进行验证的结果表明,与传统的仲裁算法相比,文中算法具有更好的带宽分配功能,避免了"饥饿"和"独占"现象,总线利用率提高了11.3%~56.3%;该算法逻辑简单,容易实现,能满足多种环境下基于总线的SoC应用.  相似文献   

6.
一种实用的VXI总线寄存器基接口电路的设计   总被引:3,自引:1,他引:3  
在介绍VXI总线协议的基础上,通过对器件寻址、端口地址译码、DTB总线仲裁和中断仲裁等几部分工作原理的分析,提出了一种VXI寄存器基接口电路的实现方法,并给出了用可编程逻辑器件实现的过程。该接口电路已在多种VXI寄存器基器件中得到应用。  相似文献   

7.
多处理机系统的总线仲裁机构的设计和使用直接影响系统的效率.本文介绍了多处理机系统的总线仲裁机构的原理及串、并行两种方式的总线仲裁器.分析了总线仲裁机构可能发生的错误动作.最后给出一个系统总线接口的设计实例。  相似文献   

8.
CAN总线是一种基于消息的事件触发通信服务,主要应用于汽车、机器人等实时通信系统.CAN总线上有多个节点互相独立工作,当多个节点访问总线时出现消息碰撞,由于CAN总线采用按位仲裁算法决定节点访问总线的优先级,导致低优先级节点访问失败,而高优先级节点继续传输消息,这种方式导致低优先级节点饥饿现象而丢失消息,因此CAN总线调度算法随之被提出.目前调度策略已从静态发展到动态,但是随着节点的增多,系统维护和调度难度增加,单条总线调度策略难以维持系统性能需要.因此本文考虑将系统中的节点挂载到多条CAN总线上构成CAN网络,针对CAN网络提出了一种层次化的动态调度算法,将节点优先级仲裁分为:单条总线本地优先级仲裁和系统全局优先级仲裁,确定系统优先级最高的节点,使其进行数据传输.利用MATLAB中的Stateflow工具,建立分层动态调度模型,依据CAN总线数据传输机制和仲裁机制,设计实现了节点模块、总线模块、函数模块等,在总线模块实现了两级调度.实验结果表明,本算法在增加了节点总数目的基础上,满足高优先级节点传输且避免了低优先级节点的饿死现象.  相似文献   

9.
本文简要介绍了PCI总线的仲裁机制,完成了PCI总线仲裁器核心的设计、实现.通过ModelSim进行了软件仿真,最后在XIUNX公司的FPGA上加以了验证.  相似文献   

10.
本文简要介绍了PCI总线的仲裁机制,完成了PCI总线仲裁器核心的设计、实现。通过ModelSim进行了软件仿真,最后在XILINX公司的FPGA上加以了验证。  相似文献   

11.
于万瑞 《测控技术》2004,23(8):47-49,52
详细介绍了PCI总线仲裁逻辑的原理和仲裁算法,解释了总线的停靠和时序要求;阐述了用硬件描述语言设计仲裁逻辑的具体过程,给出了逻辑状态机和转换关系.  相似文献   

12.
本文介绍了两种分布式总线仲裁器的设计,一种蜞于优先权仲裁策略,一种蜞于请求时间的公平仲裁策略,另外,还介绍了一种利用时间计烽器实现紧急请求的方法。  相似文献   

13.
一款基于多处理器片上系统的动态自适应仲裁器   总被引:1,自引:0,他引:1  
随着深亚微米工艺技术的发展,同一芯片上集成多个处理器得以实现.通信架构是多处理器片上系统的瓶颈,而高效的仲裁器可以解决多个处理器同时访问共享资源引起的冲突和竞争,从而防止系统性能的下降,提出一款算法简单的动态自适应仲裁器,它可以自动调节各个处理器占据的总线带宽,避免饥饿现象,基于多处理器仿真平台的实验结果显示它比传统的仲裁器减少了68%的任务完成时间,缩短了78%的总线等待时间,并且能更好地控制各处理器的总线带宽.  相似文献   

14.
分析了CAN总线位仲裁方式的不足与局限性,设计并实现了基于C8051F单片机的CAN总线通信系统实验。通过改变参与总线竞争的各个节点的延时时间,实现了所有节点公平享用总线的目标,提高了系统的稳定性和实时性,具有广泛的应用前景。  相似文献   

15.
i860多机系统中串行链循环优先权总线仲裁器   总被引:1,自引:0,他引:1  
本文介绍了一种总线仲裁器的逻辑电路。它具有仲裁开销小,扩展性好,各模块公平占用总线等特点。很适合应用于共享总线的多处理器系统中。  相似文献   

16.
基于硬件仲裁和串行总线的多主通信   总被引:2,自引:0,他引:2  
多个单片机通过串行总线及串行接口(I2C、SPI)存储芯片可以构成简捷、高效的多主机系统。多主机系统需要解决好共用串行总线的仲裁问题,本文给出利用优先编码器74HC148和译码器74HC138实现硬件仲裁的解决方法。与软件实现总线仲裁相比,该方法简单可靠,实时性高,可实现总线的预约功能,适用于由各种不同类型的CPU构成的多机系统,进一步提高多主机系统的性能。  相似文献   

17.
在紧耦合多处理机系统中,总线仲裁器的设计是影响系统性能的关键问题,本文提出了一种多机多级动态优先级总线仲裁器的设计方案,给出了其逻辑仲裁关系表达式和数学模型。.  相似文献   

18.
在介绍C8051F串行通信总线SMBus的特点及功能的基础上,重点介绍了其协议、总线仲裁、寄存器以及C8051F02x与多个EEPROM串行通信的实现,并给出了部分应用程序。  相似文献   

19.
用双端口RAM实现与PCI总线接口的数据通讯   总被引:2,自引:1,他引:2  
采用双端口RAM实现DSP与PCI总线芯片之间的数据交换接口电路。提出了一种使用CPLD解决双端口RAM地址译码和PCI接口芯片局部总线仲裁的的硬件设计方案,并给出了PCI总线接口芯片寄存器配置实例,介绍了软件包WinDriver开发设备驱动程序的具体过程。  相似文献   

20.
本文分别提出多总线多处理机系统采用轮流优先级和循环优先级仲裁的分析模型。轮流优先级仲裁方案采用概率分析,循环优先级仲裁方案采用变更状态和参数分析。分析模型被用来对这二种不同仲裁方案进行性能分析和比较。某些结果表明循环优先级仲裁的总线访问延迟最小。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号