首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Reactive ion etching (RIE) was performed on gallium nitride (GaN) films grown by electron cyclotron resonance (ECR) plasma assisted molecular beam epitaxy (MBE). Etching was carried out using trifluoromethane (CHF3) and chloropentafluoroethane (C2ClF5) plasmas with Ar gas. A conventional rf plasma discharge RIE system without ECR or Ar ion gun was used. The effects of chamber pressure, plasma power, and gas flow rate on the etch rates were investigated. The etch rate increased linearly with the ratio of plasma power to chamber pressure. The etching rate varied between 60 and 500Å/min, with plasma power of 100 to 500W, chamber pressure of 60 to 300 mTorr, and gas flow rate of 20 to 50 seem. Single crystalline GaN films on sapphire showed a slightly lower etch rate than domain-structured GaN films on GaAs. The surface morphology quality after etching was examined by atomic force microscopy and scanning electron microscopy.  相似文献   

2.
Inductively coupled plasma reactive ion etching of SiC single crystals using NF3-based gas mixtures was investigated. Mesas with smooth surfaces and vertical sidewalls were obtained, with a maximum etch rate of about 400 nm/min. Effects of CH4 and O2 addition to the NF3 gas and the crystalline quality of substrates were studied during the SiC dry etching using various masks. Selectivity of the photoresist (PR) mask improved from about 0.2 to about 0.4 by the addition of 30% CH4 during the RIE, although the etch rate decreased by 50–70%. Results also indicated that the substrate quality does not significantly affect the etch results.  相似文献   

3.
Gd2O3 is a promising gate dielectric for GaN, but little is known of its dry etching characteristics. We achieved Gd2O3 etch rates up to ~600 Å · min?1 in high density Cl2-based discharges, with maximum selectivities of ~15 over GaN and ~4 over AlN. Pure Cl2 discharges produced reverse selectivities for both Gd2O3/GaN and Gd2O3/AlN, with typical values between 0.1–0.4. When a rare gas additive such as Ar or Xe was added to the plasma chemistry, the nitrides etched faster than the oxide. This indicates that volatile etch products (GaCl3, AlCl3, N2) form in Cl2-based plasmas once the GaN or AlN bonds are broken by ion bombardment, but that GdClx species are not volatile. In conjunction with the low efficiency for Gd2O3 bond-breaking at low ion energies, this leads to low selectivity.  相似文献   

4.
Deep reactive ion etching (DRIE) of borosilicate glass was carried out using SF6 and SF6/Ar plasmas in an inductively coupled plasma (ICP) reactor. Electroplated Ni on Cu (≅50 nm)/Cr (≅100 nm)/glass structure using patterned SU-8 photoresist mask with a line spacing of 12-15 μm was used as a hard-mask for plasma etching. Plasma etching of borosilicate glass was performed by varying the various process parameters such as the gas chemistry, the gas flow ratio, the top electrode power, and the dc self-bias voltage (Vdc). In the case of using SF6 gas only, the profiles of the etched channel showed the undercut below the Ni hard-mask due to a chemical etching and the microtrenching at the bottom of the etched channel. An optimized process using the SF6 plasmas showed the glass etch rate of ≅750 nm/min. The addition of the Ar gas to the SF6 gas removed the undercut and microtrenching but decreased the etch rate to ≅540 nm/min. The increasing and decreasing time-dependent etch rates with the etch depth in the SF6 (200 sccm) and SF6(60%)/Ar(40%) plasmas, respectively, were ascribed to the different ion-to-neutral flux ratios leading to the different etch process regime.  相似文献   

5.
SiCl4-based reactive ion etching (RIE) is used to etch MgxZn1−xO (0≤x≤0.3) films grown on r-plane sapphire substrates. The RIE etch rates are investigated as a function of Mg composition, RIE power, and chamber pressure. SiO2 is used as the etching mask to achieve a good etching profile. In comparison with wet chemical etching, the in-plane etching anisotropy of MgxZn1−xO (0≤x≤0.3) films is reduced in RIE. X-ray photoelectron spectroscopy measurements show that there is no Si and Cl contamination detected at the etched surface under the current RIE conditions. The influence of the RIE to the optical properties has been investigated.  相似文献   

6.
A number of different methods have been investigated for minimizing sidewall roughness on dry etched GaN features formed using high density plasmas. In many instances, striations on dry etched mesas are a result of roughness in the initial photoresist mask employed, and this roughness is transferred sequentially to the dielectric mask and then to the GaN. Flood exposure of the photoresist, optimization of the bake temperature, choice of plasma chemistry, and ion flux/energy for patterning the dielectric mask all influence the final GaN sidewall morphology.  相似文献   

7.
The etching mechanism of (Bi4−xLax)Ti3O12 (BLT) thin films in Ar/Cl2 inductively coupled plasma (ICP) and plasma-induced damages at the etched surfaces were investigated as a function of gas-mixing ratios. The maximum etch rate of BLT thin films was 50.8 nm/min of 80% Ar/20% Cl2. From various experimental data, amorphous phases on the etched surface existed on both chemically and physically etched films, but the amorphous phase was thicker after the 80% Ar/20% Cl2 process. Moreover, crystalline “breaking” appeared during the etching in Cl2-containing plasma. Also the remnant polarization and fatigue resistances decreased more for the 80% Ar/20% Cl2 etch than for pure Ar plasma etch.  相似文献   

8.
Reactive ion etching (RIE) was performed on GaN and BN thin films using chlorine-based plasmas. The optimum chemistry was found to be BCl3/Cl2/N2/Ar and Cl2/Ar at 30 and 40 mtorr for GaN and BN etching, respectively. X-ray photoelectron spectroscopy (XPS) and Auger electron spectroscopy (AES) analysis of the GaN and BN etched surfaces show a decrease in the surface nitrogen atomic composition and an increase in chlorine impurity incorporation with increasing self-dc bias. A photo-assisted RIE (PA-PIE) process using an IR filtered Xe lamp beam was then used and resulted in improved etch rates and surface composition. Optical emission spectroscopy (OES) measurements have also shown photoenhancement of the etch process.  相似文献   

9.
The process window for the infinite etch selectivity of silicon nitride (Si3N4) layers to ArF photoresist (PR) and ArF PR deformation were investigated in a CH2F2/H2/Ar dual-frequency superimposed capacitive coupled plasma (DFS-CCP) by varying the process parameters, such as the low frequency power (PLF), CH2F2 flow rate, and H2 flow rate. It was found that infinitely high etch selectivities of the Si3N4 layers to the the ArF PR on both the blanket and patterned wafers could be obtained for certain gas flow conditions. The H2 and CH2F2 flow rates were found to play a critical role in determining the process window for infinite Si3N4/ArF PR etch selectivity, due to the change in the degree of polymerization. The preferential chemical reaction of hydrogen with the carbon in the hydrofluorocarbon (CHxFy) layer and the nitrogen on the Si3N4 surface, leading to the formation of HCN etch by-products, results in a thinner steady-state hydrofluorocarbon layer and, in turn, in continuous Si3N4 etching, due to enhanced SiF4 formation, while the hydrofluorocarbon layer is deposited on the ArF photoresist surface.  相似文献   

10.
采用AZ1500光刻胶作为掩模对GaAs和InP进行ICP刻蚀,研究了刻蚀参数对光刻胶掩模及刻蚀图形侧壁的影响。结果表明,光刻胶的碳化变性与等离子体的轰击相关,压强、ICP功率和RF功率的增加以及Cl2比例的减小都会加速光刻胶的碳化变性,Cl2/Ar比Cl2/BCl3更易使光刻胶发生变性。对于GaAs样品刻蚀,刻蚀气体中Cl2含量越高,刻蚀图形侧壁的横向刻蚀越严重。Cl2/BCl3对GaAs的刻蚀速率比Cl2/Ar慢,但刻蚀后样品的表面粗糙度比Cl2/Ar小。刻蚀InP时的刻蚀速率比GaAs样品慢,且存在图形侧壁倾斜现象。该工作有助于推动在器件制备工艺中以光刻胶作为掩模进行ICP刻蚀,从而提高器件制备效率。  相似文献   

11.
The etching mechanism of ZrO2 thin films and etch selectivity over some materials in both BCl3/Ar and BCl3/CHF3/Ar plasmas are investigated using a combination of experimental and modeling methods. To obtain the data on plasma composition and fluxes of active species, global (0‐dimensional) plasma models are developed with Langmuir probe diagnostics data. In BCl3/Ar plasma, changes in gas mixing ratio result in nonlinear changes of both densities and fluxes for Cl, BCl2, and BCl2+. In this work, it is shown that the nonmonotonic behavior of the ZrO2 etch rate as a function of the BCl3/Ar mixing ratio could be related to the ion‐assisted etch mechanism and the ion‐flux‐limited etch regime. The addition of up to 33% CHF3 to the BCl3‐rich BCl3/Ar plasma does not influence the ZrO2 etch rate, but it non‐monotonically changes the etch rates of both Si and SiO2. The last effect can probably be associated with the corresponding behavior of the F atom density.  相似文献   

12.
This study examined the plasma etching characteristics of ZnO thin films etched in BCl3/Ar, BCl3/Cl2/Ar and Cl2/Ar plasmas with a positive photoresist mask. The ZnO etch rates were increased in a limited way by increasing the gas flow ratio of the main etch gases in the BCl3/Ar, BCl3/Cl2/Ar and Cl2/Ar plasmas at a fixed dc self-bias voltage (Vdc). However, the ZnO etch rate was increased more effectively by increasing the Vdc. Optical emission spectroscopy (OES) and X-ray photoelectron spectroscopy (XPS) analyses of the ZnO surfaces etched at various Cl2/(Cl2 + Ar) mixing ratios revealed the formation of the ZnOxCly reaction by-products as a result of the increased etch rate with increasing Cl2 addition, compared with 100% Ar+ sputter etching. This suggests that at Cl2/Ar flow ratios ⩾20%, the ZnO etch process is controlled by an ion-assisted removal mechanism where the etch rate is governed by the ion-bombardment energy under the saturated chlorination conditions.  相似文献   

13.
We present a novel study of the interaction of SF6-based plasmas with sol-gel materials in a parallel plate reactive ion etching (RIE) system. The purpose of these experiments was to obtain quantitative measures and optimisation of the RIE parameters, which can be used in the microfabrication of planar lightwave circuit (PLC) devices. The sulfur hexafluoride chemistry is chosen due to its excellent etching properties of SiO2, which is one of the components of the photopatternable sol-gel materials and is not present in typical photoresist materials. Fast process etching rate and good selectivity is achieved by varying SF6 flow and power delivered to the electrodes. The study also reveals a marginal influence of oxygen and argon flow on the character of the sol-gel etching. The experimental data obtained can be used as a reference for any sol-gel devices fabricated using widely available RIE reactors.  相似文献   

14.
High density plasma etching of mercury cadmium telluride using CH4/H2/Ar plasma chemistries is investigated. Mass spectrometry is used to identify and monitor etch products evolving from the surface during plasma etching. The identifiable primary etch products are elemental Hg, TeH2, and Cd(CH3)2. Their relative concentrations are monitored as ion and neutral fluxes (both in intensity and composition), ion energy and substrate temperature are varied. General insights are made into surface chemistry mechanisms of the etch process. These insights are evaluated by examining etch anisotropy and damage to the remaining semiconductor material. Regions of process parameter space best suited to moderate rate, anisotropic, low damage etching of HgCdTe are identified.  相似文献   

15.
Phase change random access memory(PCRAM) is one of the best candidates for next generation nonvolatile memory,and phase change Si2Sb2Te5 material is expected to be a promising material for PCRAM.In the fabrication of phase change random access memories,the etching process is a critical step.In this paper,the etching characteristics of Si2Sb2Te5 films were studied with a CF4/Ar gas mixture using a reactive ion etching system.We observed a monotonic decrease in etch rate with decreasing CF4 concentration,meanwhile,Ar concentration went up and smoother etched surfaces were obtained.It proves that CF4 determines the etch rate while Ar plays an important role in defining the smoothness of the etched surface and sidewall edge acuity.Compared with Ge2Sb2Te5, it is found that Si2Sb2Te5 has a greater etch rate.Etching characteristics of Si2Sb2Te5 as a function of power and pressure were also studied.The smoothest surfaces and most vertical sidewalls were achieved using a CF4/Ar gas mixture ratio of 10/40,a background pressure of 40 mTorr,and power of 200 W.  相似文献   

16.
In this work, we investigated etching characteristics of BST thin films and higher selectivity of BST over Si using inductive coupled O2/Cl2/Ar plasma (ICP) system. The maximum etch rate of BST thin films and selectivity of BST over Si were 61.5 nm/min at a O2 addition of 1 sccm, 9.52 at a O2 addition of 4 sccm into the Cl2(30%)/Ar(70%) plasma, respectively. Plasma diagnostics was performed by Langmuir probe (LP), optical emission spectroscopy (OES) and quadrupole mass spectrometry (QMS). These results confirm that the increased etch rates at O2 addition of 1 sccm is the result of the enhanced chemical reaction between BST and Cl radicals and an ion bombardment effect.  相似文献   

17.
In this study, we compared the C4F6 and C4F8 based plasma etching characteristics of silicon dioxide and ArF photoresist (PR) in a dual-frequency superimposed capacitively coupled plasma (DFS-CCP) etcher under different high- and low-frequency combinations (fHF/fLF), while varying the process parameters such as the dc self-bias voltage (Vdc), O2 flow, and CH2F2 flow rate in the C4F8/CH2F2/O2/Ar and C4F6/CH2F2/O2/Ar plasmas. The silicon oxide etch rates increased significantly in both chemistries with increasing fHF and O2 flow rate. The silicon oxide etch rates were higher in the C4F8/CH2F2/O2/Ar than in the C4F6/CH2F2/O2/Ar plasmas, but the PR etch rate was much higher in the C4F6/CH2F2/O2/Ar than in the C4F8/CH2F2/O2/Ar plasmas under the present experimental conditions. The slower oxide etch rate in the C4F6 based plasmas was attributed to the thicker steady-state fluorocarbon layer on the silicon oxide surface, while the faster PR etch rate in the C4F8 based plasmas was ascribed to the higher F radical density in the plasma.  相似文献   

18.
Cl2-based inductively coupled plasmas (ICP) with low additional dc self-biases (?100V) produce convenient etch rates (500–1500Å·min?1) for III-nitride electronic device structures. A systematic study of the effects of additive gas (Ar, N2, H2), discharge composition, process pressure, and ICP source power and chuck power on etch rate and surface morphology has been performed. The general trends are to go through a maximum in etch rate with percent Cl2 in the discharge for all three mixtures, and to have an increase (decrease) in etch rate with source power (pressure). Since the etching is strongly ion-assisted, anisotropic pattern transfer is readily achieved. Maximum etch selectivities of approximately six for InN over the other nitrides were obtained.  相似文献   

19.
Dry etch-induced damage has been investigated using Pd Schottky diodes fabricated on n-type GaN surfaces that were etched by reactive ion etching in SiCl4 and Ar plasmas. Damage was evaluated by measuring the current-voltage, current-voltage-temperature, and capacitance-voltage characteristics of the diodes. A plasma chemistry that includes a chemical etching component (SiCl4) was found to significantly reduce the degree of induced damage in comparison to a chemistry that uses only a physical component (Ar). The effective barrier height, ideality factor, reverse breakdown voltage, reverse leakage current, and the effective Richardson coefficient of diodes etched under various plasma conditions are presented. The degree of etch-induced damage was found to depend strongly on the plasma self-bias voltage but saturates with etch time after an initial two-minute etch period. Rapid thermal annealing was found to be effective in improving the diode characteristics of the etched GaN samples.  相似文献   

20.
We investigated GaN films etched by using reactive ion etching (RIE) technique to fabricate the GaN-based devices. The samples were grown on sapphire substrate by metal organic chemical vapor deposition (MOCVD), and Ti/Al contacts were formed on n-GaN surfaces after etching processes. The effects of the kinds of reactive gases were evaluated by secondary ion mass spectrometry (SIMS). The results showed that in the sample etched using BCl3 gas, the signal from boron contaminations was strongly detected at the interface between the contact metal and n-GaN, and we found that additional etching in Cl2 plasma after etching with BCl3 gas was essential to make a good contact.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号