首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到17条相似文献,搜索用时 0 毫秒
1.
In this paper the electrical characteristics of different atomic layer deposited (ALD) high permittivity dielectric films (Al2O3 and Al2O3/HfO2 nanolaminates) subjected to ion irradiation (25 MeV oxygen ions and 10 MeV protons) are evaluated. The capacitance-voltage (C-V) and current-voltage (I-V) characterization show that high-κ nanolaminates are more tolerant to radiation than the Al2O3 layers, but suffer radiation soft breakdown (RSBD) events. The main variation on the electrical characterization could be interpreted as a gradual decrease of the dielectric constant and/or as an increase of the series resistance of the device.  相似文献   

2.
The interface structure of a high permittivity (high-κ) oxide with Si substrate affects the electrical properties of the high-κ based transistors. Our theoretical analysis suggests that the formation of a SiO2 layer at the high-κ/Si interface originates from the instability of a Si impurity in the high-κ oxide. Our computational results revealed that the Si impurity is much more stable in La2O3 than in HfO2, indicating La2O3 is a silicate former, while SiO2 is likely to precipitate at the HfO2/Si interface.  相似文献   

3.
In this invited paper, we demonstrate how physical analysis techniques that are commonly used in integrated circuits failure analysis can be applied to detect the failure defects associated with ultrathin gate dielectric wear-out and breakdown in high-κ materials and investigate the associated failure mechanism(s) based on the defect chemistry. The key contributions of this work are perhaps focused on two areas: (1) how to correlate the failure mechanisms in high-κ/metal gate technology during wear-out and breakdown to device processing and materials and (2) how the understanding of these new failure mechanisms can be used in proposing “design for reliability” (DFR) initiatives for complex and expensive future CMOS nanoelectronic technology nodes of 22 nm and 15 nm. Hf-based high-κ materials in conjunction with various gate electrode technologies will be used as main examples while other potential high-κ gate materials such as cerium oxide (CeO2) will also be demonstrated to further illustrate the concept of DFR.  相似文献   

4.
We find that changes in threshold voltage induced by negative bias temperature stressing of p-channel field effect transistors with HfSiON gate dielectrics are modulated by the drain voltage, in measurements wherein the drain current is measured during stressing. This effect is not observed in SiO2 gate devices. Short channel effects are excluded as explanations, leading us to conclude that positive charge in the dielectric stack is laterally mobile and is conducted out of the insulator via the drain. Further, a simple qualitative model of charging kinetics allows us to extract the density of interface states as a function of time, and shows that these defects build in time, reaching numbers on the order of 1011 cm−2 after hundreds of seconds.  相似文献   

5.
Dielectric breakdown is one of the key failure mechanisms in front-end silicon-based complementary metal oxide semiconductor (CMOS) technology. With the advent of HfO2-based high-κ dielectrics replacing SiO2 and metal gate replacing polysilicon and silicides, the physics of defect generation and breakdown of the oxide has changed significantly, although the mechanisms governing operation of the transistor remain essentially the same. Given the progression towards ultra-thin dielectric films with physical thickness ∼1–2 nm, the overall breakdown process has shifted from a single catastrophic hard breakdown (HBD) event to include various regimes such as soft breakdown (SBD) and progressive (post) breakdown (PBD) which in itself consists of a digital phase with random telegraph noise (RTN) fluctuations and stable average leakage current and an analog phase with gradual wear-out and lateral dilation of the percolation path resulting in a monotonic increase in leakage current. In order to better design and optimize the logic gate stack for enhancing its robustness and immunity to breakdown, it is essential to understand the driving forces and physical mechanisms behind the different phases of dielectric failure. This review is dedicated to the scientific understanding of the various regimes of breakdown in high-κ gate stacks using electrical, physical and statistical techniques along with an application of these findings to predict the impact they will have from a technology perspective.  相似文献   

6.
A methodology to simulate memory structures with metal nanocrystal islands embedded as floating gate in a high-κ dielectric material for simultaneous enhancement of programming speed and retention time is presented. The computational concept is based on a model for charge transport in nano-scaled structures presented earlier, where quantum mechanical tunneling is defined through the wave impedance that is analogous to the transmission line theory. The effects of substrate-tunnel dielectric conduction band offset and metal work function on the tunneling current that determines the programming speed and retention time is demonstrated. Simulation results confirm that a high-κ dielectric material can increase programming current due to its lower conduction band offset with the substrate and also can be effectively integrated with suitable embedded metal nanocrystals having high work function for efficient data retention. A nano-memory cell designed with silver (Ag) nanocrystals embedded in Al2O3 has been compared with similar structure consisting of Si nanocrystals in SiO2 to validate the concept.  相似文献   

7.
Charge trapping (CT) memories could be a promising technology option for further NAND Flash scaling. The assessment of the scalability limits and ultimate performances of this technology demands for the comprehensive understanding of the physical mechanisms governing device operation and reliability, which requires accurate physics-based models reproducing the electrical device characteristics. The basic features of the models presented in the literature for CT memory devices are reviewed, underlining their similarities and differences, and highlighting their importance in order to achieve a comprehensive understanding of the physical mechanisms responsible for CT device operation and reliability. A physical model describing the charge transport in nitride and high-κ stacks is also presented, which allows gaining further insights into reliability issues related to charge localization and high-κ tunnel and blocking dielectrics, like the effects of the blocking alumina layer and the band-gap engineered tunnel dielectrics on the TANOS device retention.  相似文献   

8.
This study investigates the effects of rapid thermal annealing (RTA) in nitrogen ambient on HfO2 and HfSiOx gate dielectrics, including their electrical characteristics, film properties, TDDB reliability and breakdown mechanism. The optimal temperature for N2 RTA treatment is also investigated. The positive oxide trap charges (oxygen vacancies) in HfO2 and HfSiOx dielectric films can be reduced by the thermal annealing, but as the annealing temperature increased, many positive oxide trap charges (oxygen vacancies) with shallow or deep trap energy level will be formed in the grain boundaries, degrading the electrical characteristics, and changing the breakdown mechanism. We believe that variation in the number of positive oxide trap charges (oxygen vacancies) with shallow or deep trap energy levels is the main cause of the CV shift and difference in the breakdown behaviors between HfO2 and HfSiOx dielectrics. With respect to CV characteristics and TDDB reliability, the optimal temperature for N2 RTA treatment is in the range 500-600 °C and 800-900 °C, respectively.  相似文献   

9.
Low frequency noise (LFN) characterization was performed on the HfSiON gate stacks fabricated with the SiON interfacial layers (ILs) and a La cap layer. The LFN data identified N and La related defects located in the IL/HK region.  相似文献   

10.
Bias Temperature Instability (BTI) is a major reliability concern in CMOS technology, especially with High-dielectric constant (High-κ/HK) metal gate (MG) transistors. In addition, the time-independent process-induced variation has also increased because of the aggressive scaling down of devices. As a result, the faster devices at the lower threshold voltage distribution tail experience higher stress, leading to additional skewness in BTI degradation. Since time-dependent dielectric breakdown (TDDB) and stress-induced leakage current (SILC) in NMOS devices are correlated to BTI, it is necessary to investigate the effect of time-zero variability on all of these effects simultaneously. Accordingly, we propose a simulation framework to model and analyze the impact of time-zero variability (in particular, random dopant fluctuations) on different aging effects. For small area devices (~ 1000 nm2) in 30 nm technology, we observe significant effects of Random Dopant Fluctuation (RDF) on BTI-induced variability (σΔVth). In addition, circuit analysis reveals similar trend in performance degradation. However, both TDDB and SILC show weak dependence on RDF. We conclude that the effect of RDF on Vth degradation cannot be disregarded in scaled technology and needs to be considered in variation-tolerant circuit design.  相似文献   

11.
In this work, we present reliability results of MIM (Metal–Insulator–Metal) capacitors fabricated with parylene as the dielectric, deposited at room temperature. We have evaluated the time dependent dielectric breakdown (TDDB) of parylene-based MIM capacitors as a function of constant DC voltage stress, area and dielectric thickness of the capacitor. Mean-time-to-failure (MTTF) of parylene evaluated at different stress voltages shows a power law distribution over the applied voltage range and device area, with MTTF driven by the number of defects. Defect density in the parylene capacitors is also reported and is calculated to be ~1.2 × 103 defects/cm2.  相似文献   

12.
Recent device reliability studies on the metal/high-κ device observed the inter-convertible characteristics of the electron trap levels between the shallow and deep defect states under cyclic positive-bias temperature stressing. Although the oxygen vacancy and oxygen interstitial defect, being two typical types of defects in the high-κ oxide, have been criticized as the culprit for the device reliability issue and investigated in many simulations, all results have indicated that the defect levels induced by them were either too shallow or too deep and failed to explain the above experimental observation. Nevertheless, studies on the static characteristics of vacancy-interstitial (VO-Oi) model showed scattering distributed electron trap levels within the bandgap, making it as a promising defect type that can account for the above experimental observation. In this work, we investigated the dynamic characteristics of the VO-Oi defect pair under PBTI stress by tuning the relative position of VO and Oi. Our simulation results show multiple energy barriers for the structure transformation along the Oi migration path, and the charge trap level of the specific defect pair during the Oi migration is shown to be adjustable within the HfO2 band gap, depending on the Oi positions. These results depict an atomic picture to help us understand the defect electrical behavior under cyclic positive bias stress condition.  相似文献   

13.
ZrO2 with a κ value of 30 grown by atomic layer deposition has been integrated as charge trapping layer alternative to Si3N4 in TANOS-like memory capacitors, with Al2O3 as blocking oxide, SiO2 as tunnel oxide and TaN metal gate. The fabricated device featuring 24 nm ZrO2 exhibits efficient program and erase operations under Fowler-Nordheim tunneling when compared to a Si3N4 based reference device with similar EOT and fabricated under the same process conditions. The effect of stack thermal budget (900-1030 °C range) on memory performance and reliability is investigated and correlated with physical analyses. Finally, scaling ZrO2 down to 14 nm allows program and erase at lower voltages, even if the trapping efficiency and retention of these device need further improvements for the integration of ZrO2 in next generation charge trapping nonvolatile memories.  相似文献   

14.
The removal process of the La2O3/HfO2 dielectric and of the residues after metal gate etch are discussed. The challenges are presented and related to the specific physico-chemical properties of La-containing compounds. Solutions based on optimization of plasma etch, strip and wet clean are demonstrated for both an integrated and delayed etch-clean process. Both processes meet the stringent requirements of complete removal of the high-κ layers and metal-containing sidewall residues without inducing silicon recess or undercut.  相似文献   

15.
Electroluminescence features in the wavelength range of 0.9–1.65 μm were experimentally studied in the breakdown mode of reverse biased Si/Si:Er/Si p-n-junction structures grown by sublimation molecular-beam epitaxy. Based on the results of this study, a new physical model is proposed, in which radiative transitions in the near-infrared region are excited by recombination of electrons arriving at corresponding energy levels in the Si:Er layer due to their tunneling from the valence band of the p +-layer in the electric field of the reverse biased p-n-junction. The model proposed is in qualitative agreement with main available experimental results.  相似文献   

16.
In this study, both the metal-semiconductor (MS) and metal-polymer-semiconductor (MPS), (Al/C20H12/p-Si), type Schottky barrier diodes (SBDs) were fabricated using spin coating method and they were called as D1 and D2 diodes, respectively. Their electrical characterization have been investigated and compared using the forward and reverse bias IV and CV measurements at room temperature. The main electrical parameters such as ideality factor (n), reverse saturation current (Io), zero-bias barrier height (ΦBo), series (Rs) and shunt (Rsh) resistances, energy dependent profile of interface states (Nss), the doping concentration of acceptor atoms (NA) and depletion layer width (WD) were determined and compared each other and literature. The rectifying ratio (RR) and leakage current (IR) at ±3 V were found as 2.06×103, 1.61×10−6 A and 15.7×103, 2.75×10−7 A for D1 and D2, respectively. Similarly, the Rs and Rsh values of these diodes were found as 544 Ω, 10.7 MΩ and 716 Ω and 1.83 MΩ using Ohm’s Law, respectively. In addition, energy and voltage dependent profiles of Nss were obtained using the forward bias IV data by taking into account voltage dependent effective barrier height (Φe) and n and low-high frequency capacitance (CLFCHF) methods, respectively. The obtained value of Nss for D2 (MPS) diode at about the mid-gap of Si is about two times lower than D1 (MS) type diode. Experimental results confirmed that the performance in MPS type SBD is considerably high according to MS diode in the respect of lower values of Nss, Rs and Io and higher values of RR and Rsh.  相似文献   

17.
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号