首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 0 毫秒
1.
Naulleau PP 《Applied optics》2005,44(2):183-189
Relying on reflective mask technology, extreme-ultraviolet (EUV) lithography is particularly vulnerable to mask substrate roughness. Previous research has shown mask roughness to play a significant role in printed line-edge roughness (LER). Here the analysis of mask-roughness effects is extended to printed contact-size variations. Unlike LER, illumination partial coherence is found to have little affect on the results for contacts that are near the diffraction limit. Analysis shows that, given the current state-of-the-art EUV mask, mask roughness has a significant effect on the process window for small contacts. The analysis also shows that a significant portion of the contact-size variation observed in recent 0.1-numerical-aperture EUV exposures can be attributed to the mask-roughness effect studied here.  相似文献   

2.
A multilayer coating alters the amplitude and phase of a reflected wave front. The amplitude effects are multiplicative and well understood. We present a mathematical formalism that can be used to describe the phase effects of coating in a general case. On the basis of this formalism we have developed an analytical method of estimating the wave-front aberrations introduced by the multilayer coating. For the case of field-independent aberrations, we studied both uniform and graded multilayer coatings. For the case of field-dependent aberrations, we studied only the effects of a uniform multilayer coating. Our analysis is based on a coated plane mirror tilted with respect to an incident converging beam. Altogether we have found, up to the second order, the following aberrations: a field-dependent piston, a field-squared-dependent piston, defocus, field-independent tilt, field-independent astigmatism, and anamorphic magnification. To obtain numerical results we apply our analysis to the specific case of a plane mirror tilted 8.2 deg with respect to an incident converging beam with a numerical aperture of 0.1. We find that the magnitudes of the field-independent aberration coefficients for the graded coating are approximately ten times smaller than those for the uniform coating. We show that a coating can introduce anamorphic magnification.  相似文献   

3.
Two basic types of interferometer, a point diffraction interferometer (PDI) and a lateral shearing interferometer (LSI) suitable for operation in the extreme-ultraviolet (EUV) wavelength region, are described. To address the challenges of wavefront measurement with an accuracy of 0.1 nm rms, we present a calibration method for the PDI that places a mask with two large windows at the image plane of the illumination point light source and a general approach to deriving the phase-shift algorithm series that eliminates the undesired zeroth-order effect in the LSI. These approaches to improving the measurement accuracy were experimentally verified by the wavefront measurements of a Schwarzschild-type EUV projection lens.  相似文献   

4.
Debris from laser-produced plasmas created with solid Sn and Au targets has been characterized according to speed and particulate size. Conditions for the experiments were those appropriate for producing an optimum laser-produced plasma emission at 13.5 nm for use in extreme-ultraviolet lithography. Results in the form of histogram data show that the speed distribution of the debris particulates is quite varied and in general exhibits an upper limit of ~640 m/s. In the case of Sn a peak in the velocity distribution is observed near 300 m/s. Small particulates, of the order of 1 μm or less, constitute the majority of the particulate emission in both materials. The implications for debris reduction based on the measurements are also discussed.  相似文献   

5.
基于Nevot-Croce模型,计算了一系列具有粗糙界面的极紫外投影光刻掩模的反射光谱。通过拟合计算结果,得到了峰值反射率、带宽和中心波长与粗糙度的函数关系。根据光刻系统对照明均匀性的要求,讨论了在相同粗糙度变化范围内,分别由峰值反射率、带宽和中心波长引起的照明误差。结果表明,粗糙度对极紫外投影光刻掩模的峰值反射率影响最大。当掩模粗糙度为0.85±0.04nm时,峰值反射率将产生±0.9%的波动,并由此产生±1.5%的照明误差。为保证由峰值反射率导致的照明误差小于±1%,极紫外投影光刻掩模的粗糙度必须控制在±0.025nm以内。  相似文献   

6.
We present a method for repairing defects near the top surfaces of multilayer coatings in general and specifically on extreme-ultraviolet lithography mask blanks. Milling away the defect and a surrounding region of the multilayer by use of a focused ion beam can repair both the reflectivity and the phase of the reflected light in the vicinity of such a defect. We describe the conditions under which the repaired region will not itself be a defect and experimentally demonstrate the feasibility of this multilayer repair technique. The results described are also applicable to understanding and controlling the optical effects of ion-induced multilayer erosion.  相似文献   

7.
Mirkarimi PB  Bajt S  Wall MA 《Applied optics》2000,39(10):1617-1625
Multilayer-coated Zerodur optics are expected to play a pivotal role in an extreme-ultraviolet (EUV) lithography tool. Zerodur is a multiphase, multicomponent material that is a much more complicated substrate than commonly used single-crystal Si or fused-silica substrates. We investigate the effect of Zerodur substrates on the performance of high-EUV reflectance Mo/Si and Mo/Be multilayer thin films. For Mo/Si the EUV reflectance had a nearly linear dependence on substrate roughness for roughness values of 0.06-0.36 nm rms, and the FWHM of the reflectance curves (spectral bandwidth) was essentially constant over this range. For Mo/Be the EUV reflectance was observed to decrease more steeply than Mo/Si for roughness values greater than approximately 0.2-0.3 nm. Little difference was observed in the EUV reflectivity of multilayer thin films deposited on different substrates as long as the substrate roughness values were similar.  相似文献   

8.
9.
We present our results of coating a first set of optical elements for an extreme-ultraviolet (EUV) lithography system. The optics were coated with Mo-Si multilayer mirrors by dc magnetron sputtering and characterized by synchrotron radiation. Near-normal incidence reflectances above 65% were achieved at 13.35 nm. The run-to-run reproducibility of the reflectance peak wavelength was maintained to within 0.4%, and the thickness uniformity (or gradient) was controlled to within +/-0.05% peak to valley, exceeding the prescribed specification. The deposition technique used for this study is an enabling technology for EUV lithography, making it possible to fabricate multilayer-coated optics to accuracies commensurate with atomic dimensions.  相似文献   

10.
A novel stitching method is presented which does not require special purpose alignment markers and which is particularly adapted to probe lithographic methods, enabling the writing of large patterns exceeding the size limitations imposed by high precision scan stages. The technique exploits the natural roughness of polymeric resist surfaces as a fingerprint marker for the sample position. Theoretical and experimental evidence is provided that sub-nanometer metrological accuracy can be achieved by inspecting the surface roughness in areas with 1?μm linear dimensions. The method has been put to the test in a thermal probe lithography experiment by writing a composite pattern consisting of five 10?μm ×?10?μm fields which are seamlessly joined together. The observed stitching error of 10?nm between fields is dominated by inaccuracies of the scanning hardware used in the experiment and is not fundamentally limited by the method per?se.  相似文献   

11.
12.
Duddles NJ 《Applied optics》1998,37(16):3533-3538
The role of Mo/Si reflective coatings in the imaging performance of an extreme-ultraviolet projection lithography system under polychromatic illumination has been theoretically examined. Using a thin-film computer model, we have explored various multilayer design criteria. Optimum operating conditions, leading to the maximum system transmittance, were found for a tuned multilayer system operating at lambda = 12.7 nm. In this configuration, Mo/Si coatings have been shown to be nondetrimental to the imaging performance of our system with the introduction of only minor modifications to the propagating wave front, which can be adequately described by a simple tilt and defocus term.  相似文献   

13.
The present study has attempted to investigate and model surface roughness on parts printed using a poly-jet additive manufacturing system. Initially the study investigated the effect of layer thickness, local surface orientation and finish type on surface roughness in poly-jet printed parts. The study shows that the surface orientation and finish type are the major factors affecting surface roughness of poly-jet parts. Then a detailed experimental study was conducted by varying surface orientation in very close intervals to obtain the surface roughness distribution. The study reveals that surface roughness distribution for poly-jet parts is different from that obtained for parts made by other additive manufacturing processes. A detailed experimental and theoretical analysis of droplet geometry, as formed by the jetting process, and its effect on the edge profile of the polymerised layer is presented. A surface roughness prediction model is proposed based on these studies and validated using profilometric measurements.  相似文献   

14.
Kang HY  Hwangbo CK 《Applied optics》2008,47(13):C75-C78
We have designed what we believe to be new hybrid-type attenuated phase-shift masks for extreme-ultraviolet optical lithography by use of a Fabry-Perot interference filter. The designs for the attenuated phase-shift masks show a smaller step height for less geometric shadow effects than additive- and subtractive-type attenuated phase-shift masks, a contrast higher than 94% for both deep-ultraviolet and extreme-ultraviolet wavelength regimes, and a 180 degrees phase-shift in the extreme-ultraviolet wavelength regime.  相似文献   

15.
Dark energy presents us with a challenging puzzle: understanding the new physics seen in the acceleration of the expansion of the Universe. Measurements using type-Ia supernovae (SNe) first detected this acceleration, and this approach remains the most direct route to studying the details of the Universe's expansion history that can teach us more about the nature of the dark energy. Such measurements are, however, extremely demanding in both precision and accuracy, since the different dark-energy models predict very small differences in the expansion history. While several cosmological probes may reach the required statistical uncertainties, the key measurement limit will be the systematic uncertainty. The supernova-measurement approach has the advantage of well-studied systematic uncertainties, allowing a next-generation experiment to be pursued. We briefly review the progress to date and examine the promise of future surveys with large numbers of SNe and well-bounded systematics.  相似文献   

16.
17.
Grain boundary diffusion: recent progress and future research   总被引:3,自引:0,他引:3  
Grain boundary (GB) diffusion often controls the evolution of structure and properties of engineering materials at elevated temperatures. A knowledge of diffusion characteristics of GBs and deep fundamental understanding of this phenomenon are critical to many materials applications. In this paper we give an overview of boundary diffusion theory with emphasis on the interpretation of concentration profiles measured in diffusion experiments. We consider the most important situations encountered in boundary diffusion experiments, such as diffusion in the B and C regimes and diffusion in the presence of segregation. We also discuss the recent progress in the atomistic interpretation of GB diffusion. We conclude with an outlook for future research in this area.  相似文献   

18.
Throughput analysis is important for the design, operation and management of production systems. A substantial amount of research has been devoted to developing analytical methods to estimate the throughput of production systems with unreliable machines and finite buffers. In this paper we summarise the recent studies in this area. In addition to the performance evaluation of serial lines, approximation methods for more complex systems, such as assembly/disassembly systems, parallel lines, split and merge, closed-loop systems, etc., are discussed. Moreover, we propose future research topics from the automotive manufacturing systems perspective.  相似文献   

19.
Line edge roughness (LER) of patterned features in chemically amplified (CA) resists is formed in the acid generation stage and expected to be moderated by the acid diffusion and development process. It is essential to obtain information on the limit of LER in order to realize next-generation lithographies such as electron beam or extreme ultraviolet. Here, we report for the first time a process simulator based on physical and chemical reaction mechanisms. The LER of a positive-tone CA resist after development is investigated by Monte Carlo simulation and Mack's dissolution model. We found that the LER (high frequency) of less than 1.2?nm is achievable, although the process conditions and material design for achieving such a small LER are strict.  相似文献   

20.
The great scatter of fatigue test results demands special planning and evaluation. A development of the Wöhler diagram is presented which splits up the fatigue ranges into ones of finite endurance, transition and infinite endurance. The two types of the Wöhler diagram with this conception are shown. Reasons are given why ranges rather than distinct divisions should be defined. The favorable values of probability of fracture PF are discussed. Experiences with the mathematical functions are reported. For the transition range the classical evaluation is explained; the boundary technique is recommended, and the staircase method and the Prot and the Locati methods are not recommended. Some recommendations are given for planning tests expected to lie within the range of finite fatigue life.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号