首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Controlling the thermal radiation spectra of materials is one of the promising ways to advance energy system efficiency. It is well known that the thermal radiation spectrum can be controlled through the introduction of periodic surface microstructures. Herein, a method for the large-area fabrication of periodic microstructures based on multi-step wet etching is described. The method consists of three main steps, i.e., resist mask fabrication via photolithography, electrochemical wet etching, and side wall protection. Using this method, high-aspect micro-holes (0.82 aspect ratio) arrayed with hexagonal symmetry were fabricated on a stainless steel substrate. The conventional wet etching process method typically provides an aspect ratio of 0.3. The optical absorption peak attributed to the fabricated micro-hole array appeared at 0.8 μm, and the peak absorbance exceeded 0.8 for the micro-holes with a 0.82 aspect ratio. While argon plasma etching in a vacuum chamber was used in the present study for the formation of the protective layer, atmospheric plasma etching should be possible and will expand the applicability of this new method for the large-area fabrication of high-aspect materials.  相似文献   

2.
We have studied the fabrication of high-aspect ratio silicon tips by a combination of deep reactive ion etching and focused ion beam. The reactive ion etching is used to obtain so-called “rocket tips” which can be fabricated with a high aspect ratio. The rocket tips are further processed by using a focused ion beam to obtain nanotips at their apex. Typical results obtained are nanotips with a basis radius of 200 nm and a height of 2.5 μm, with an apex radius of 5 nm, located on top of a 3 μm wide and 9 μm high silicon column. The process would allow however obtaining column heights of several tens of microns.  相似文献   

3.
This work presents fabrication of micro structures on sub–100 nm SiC membranes with a large aspect ratio up to 1:3200. Unlike conventional processes, this approach starts with Si wet etching to form suspended SiC membranes, followed by micro‐machined processes to pattern free‐standing microstructures such as cantilevers and micro bridges. This technique eliminates the sticking or the under‐etching effects on free‐standing structures, enhancing mechanical performance which is favorable for MEMS applications. In addition, post‐Si‐etching photography also enables the formation of metal electrodes on free standing SiC membranes to develop electrically‐measurable devices. To proof this concept, the authors demonstrate a SiC pressure sensor by applying lithography and plasma etching on released ultrathin SiC films. The sensors exhibit excellent linear response to the applied pressure, as well as good repeatability. The proposed method opens a pathway for the development of self‐sensing free‐standing SiC sensors.  相似文献   

4.
Recent work on optical microcavities is concisely reviewed in this article. Optical microcavities can be fabricated using III–V compound semiconductors by introducing a large periodic refractive-index variation along the axis of GaAs waveguides. Strong optical confinement in the microcavities is achieved by oxidizing the underlying III–V material and further optimized by preferentially etching away the oxide to form an air-bridge structure. The III–V thermal oxide is obtained from the wet thermal oxidation of high Al-content AlxGa1–xAs material. The critical role of the thermal oxide in the design and fabrication of the waveguide microcavities is outlined and the characterization of the properties of the thermal oxide salient to the fabrication of the devices is described. Finally, preliminary transmission data demonstrating the successful realization of these microcavities are presented.  相似文献   

5.
Fresnel zone plates (FZPs) for soft X-ray microscopy with an energy range of 284 eV to 540 eV are designed and fabricated in a simple method. An adequate aspect ratio of the resist mold for electroplating was obtained by the proximity effect correction technology for an incident electron beam on a single thick layer resist. Without additional complicated reactive ion etching, a sufficient electro plating mold for nickel structures was fabricated. The overall fabrication procedures which involve a mix-and-match overlay technique for electron beam lithography and an optic exposure system that centers the membrane on the nanostructures, and hybrid silicon etching technology in junction with deep anisotropy and a KOH wet method in order to release the backside Si substrates of the Si3N4 membranes with no deformation of FZPs are introduced. High quality nanostructures with minimum outermost zone widths of 50 nm and diameters of 120 microm were fabricated with simplified fabrication process and with cost-effective.  相似文献   

6.
A simple and inexpensive technique for the simultaneous fabrication of positive (i.e., protruding), very high aspect (>10) ratio nanostructures together with micro‐ or millistructures is developed. The method involves using residual patterns of thin‐film over‐etching (RPTO) to produce sub‐micro‐/nanoscale features. The residual thin‐film nanopattern is used as an etching mask for Si deep reactive ion etching. The etched Si structures are further reduced in size by Si thermal oxidation to produce amorphous SiO2, which is subsequently etched away by HF. Two arrays of positive Si nanowalls are demonstrated with this combined RPTO‐SiO2‐HF technique. One array has a feature size of 150 nm and an aspect ratio of 26.7 and another has a feature size of 50 nm and an aspect ratio of 15. No other parallel reduction technique can achieve such a very high aspect ratio for 50‐nm‐wide nanowalls. As a demonstration of the technique to simultaneously achieve nano‐ and milliscale features, a simple Si nanofluidic master mold with positive features with dimensions varying continuously from 1 mm to 200 nm and a highest aspect ratio of 6.75 is fabricated; the narrow 200‐nm section is 4.5 mm long. This Si master mold is then used as a mold for UV embossing. The embossed open channels are then closed by a cover with glue bonding. A high aspect ratio is necessary to produce unblocked closed channels after the cover bonding process of the nanofluidic chip. The combined method of RPTO, Si thermal oxidation, and HF etching can be used to make complex nanofluidic systems and nano‐/micro‐/millistructures for diverse applications.  相似文献   

7.
Various MEMS devices like Accelerometers, Resonators, RF- Filters, Micropumps, Microvalves, Microdispensers and Microthrusters are produced by removing the bulk of the substrate materials. Fabrications of such Microsystems requires the ability to engineer precise three-dimensional structures in the silicon substrate. Fabrication of MEMS faces multiple technological challenges before it can become a commercially viable technology. One key fabrication process required is the deep silicon etching for forming high aspect ratio structures. There is an increasing interest in the use of dry plasma etching for this application because of its anisotropic etching behavior, high etch speed, good uniformity and profile control, high aspect ratio capabilities without having any undesired secondary effects i.e. RIE lags, Loading, microloading, loosing of anisotropic nature of etching as aspect ratio increases, micro-grass and even etch stalling. Developing a DRIE micro-machining process requires a thorough understanding of all plasma parameters, which can affect a silicon etching process and their use to suppress the secondary effects. In this paper our intention is to investigate the influence of etching gas flow, etching gas pressure, passivation gas pressure, ICP coil power, Platen power and etch and passivation time sequence on etch rate and side wall profile. Parameter ramping is a powerful technique used to achieve the requirements of high aspect ratio microstructures (HARMS) for MEMS applications by having high etch rate with good profile/CD control. The results presented here can be used to rationally vary processing parameters in order to meet the microstructural requirements for a particular application.  相似文献   

8.
Thermal emissive properties of microstructured surfaces are measured in the near-infrared region. Two-dimensional periodic microstructured surfaces with metal coatings are fabricated with Si anisotropic etching and laser ablation techniques. The structural periods of the samples are 2.0 and 1.5 microm. Clear selective-emission bands are observed experimentally. This selective emission is attributed to the resonance effect between the emissive field and the surface microstructures. In addition, numerical calculation computed with rigorous coupled-wave analysis (RCWA) is performed on the microstructured samples. The selective-emission peaks measured through experiments can be reproduced well by RCWA, and this result suggests strongly that the thermal radiation from periodic structures may have spatial coherence. It is confirmed that the surface microstructure can be applied to the control of spectral emission from high-temperature materials.  相似文献   

9.
A new fabrication process of silicon template for nanoimprint lithography is developed. A very fine and high aspect Si lines are fabricated by the combination of plasma process for Si deep etching and anisotropic wet etching by potassium hydroxide solution (KOH treatment). Improved switching process, which consists of sequentially alternating etching and deposition steps, is used as the Si deep etching. The side wall profile of the Si lines can be controlled by the deposition step time. Line pattern with vertical side wall is fabricated. The line width and height are 0.2 µm and 2.9 µm, respectively. By the KOH treatment the side wall corrugation can be reduced and the line width also decreases. Very fine line of 45 nm width at the line top with the pattern height of 3.0 µm can be fabricated by use of the line width shrink by the KOH treatment.  相似文献   

10.
Wang W  Tang Y  Li T  Wu Y  Yang J  Wang Y 《Applied optics》2005,44(12):2409-2415
A turning mirror is a key component in compact optical waveguide devices and high-density integrated optics. An improved two-step method for fabrication of high-quality, compact turning mirrors in silicon-on-insulator materials is proposed. First, inductively coupled plasma etching is applied to produce the turning mirror, which keeps the turning mirror compact; then silicon wet anisotropic etching is applied to enhance the quality of the turning mirror by of its polishing surface, correcting its orientation, and improving the verticality. The shape of the turning mirror fabricated by the two-step method is hexagonal or octagonal, matching the optical field of the rib waveguide well. A large effective mirror size to reflect light waves and reduced shrinkage of the mirror size during etching guarantee that a mirror produced by this two-step method will be more compact than previously designed mirrors.  相似文献   

11.
Abstract

This study presents the primary design, fabrication process and device measurement of a Capacitive Micromachined Ultrasonic Transducer (CMUT) for underwater acoustic imaging. Theoretical analysis and computer simulations of the CMUT are performed. The CMUT fabrication uses the full surface micromachining techniques of the Micro Electro Mechanical System (MEMS). These techniques are Low Pressure Chemical Vapor Deposition (LPCVD), photolithography, Reactive Ion Etching System (RIE) dry etching, sacrificial layer wet etching, metal thermal evaporation coating and Plasma‐Enhanced Chemical Vapor Deposition (PECVD). Several important issues regarding fabrication are discussed. The measured input impedance of the CMUT is in agreement with the theoretical prediction. The received signal has a 35 dB signal‐to‐noise ratio indicating that practical applications of the immersion CMUT are feasible and that the radiation pattern measurement of the CMUT array has good beamforming characteristics for underwater imaging.  相似文献   

12.
In this paper, a new combined method of sub-micron high aspect ratio structure fabrication is developed which can be used for production of nano imprint template. The process includes atomic force microscope (AFM) scratch nano-machining and reactive ion etching (RIE) fabrication. First, 40 nm aluminum film was deposited on the silicon substrate by magnetron sputtering, and then sub-micron grooves were fabricated on the aluminum film by nano scratch using AFM diamond tip. As aluminum film is a good mask for etching silicon, high aspect ratio structures were finally fabricated by RIE process. The fabricated structures were studied by SEM, which shows that the grooves are about 400 nm in width and 5 microm in depth. To obtain sub-micron scale groove structures on the aluminum film, experiments of nanomachining on aluminum films under various machining conditions were conducted. The depths of the grooves fabricated using different scratch loads were also studied by the AFM. The result shows that the material properties of the film/substrate are elastic-plastic following nearly a bilinear law with isotropic strain hardening. Combined AFM nanomachining and RIE process provides a relative lower cost nano fabrication technique than traditional e-beam lithography, and it has a good prospect in nano imprint template fabrication.  相似文献   

13.
We report on the fabrication of silicon nanostructures with a high aspect ratio that were created using a combination of electrochemical etching and alkaline etching. With this technique, we were able to fabricate nano- and/or micro-wire structures that are perfectly periodic over large areas of 3.14 cm2. After porous silicon was created by electrochemical etching, the effect of post-alkaline etching was investigated to determine how changes in the etching time, solution concentration and temperature of the etchant influenced the silicon morphology. As a result, periodic silicon wire arrays with good vertical alignment were obtained, and these arrays had a width of less than 500 nm and/or a high aspect ratio of more than 20.  相似文献   

14.
A novel approach to produce a large‐area hierarchical structure array is presented. The method combines replica molding and atmospheric pressure plasma (APP) etching processes. Liquid blends consisting of siliconized silsesquioxane acrylate (Si‐SSQA), polyethylene glycol dimethacrylate (PEGDMA), and photoinitiator are used as roughness formable materials during APP etching. Microstructures composed of the Si‐SSQA/PEGDMA mixtures are fabricated by replica molding. Nanoroughness is realized on the microstructures by argon/oxygen (Ar/O2) APP etching in air. The nano­roughness on molded microstructures is efficiently controlled by varying the weight ratio of Si‐SSQA to PEGDMA and the etching time. The hierarchical structures fabricated by combining replica molding and Ar/O2 APP etching show superhydrophilicity with a long‐term stability, resulting in the formation of hydroxyl‐terminated silicon oxide layer with the reorientation limit. On the other hand, the hierarchical structures treated with a perfluorinated self‐assembled monolayer (SAM) show increased the water contact angles of up to 161° depending on the morphology of the hierarchical structures. The increment of water contact angles is consistent with increment of the nano‐/microroughness of hierarchical structures.  相似文献   

15.
利用大面积硅片制作X射线光栅和硅基微通道板等都涉及硅的热氧化工艺。热氧化使具有高深宽比微结构的大面积硅片产生形变,严重影响了这些器件的应用。本文以5英寸硅片为例,研究了硅基微结构在热氧化过程中的变形问题,定性分析了产生形变的力学因素,提出了减小形变的氧化方法。首先实验制作了具有高深宽比微结构的硅片,采用不同的氧化方法,比较了变形的大小。结果表明,通过控制热氧化过程中的温度来控制热膨胀系数和在热氧化过程中施加外部热塑应力等方法能够有效地减小热氧化变形量。  相似文献   

16.
Metasurfaces have ushered in a huge development for their superior ability in manipulating light properties including phase, amplitude, and polarization, which show great potential as alternatives for the refractive optical devices. Recently, many applications of metasurface including metalens have been proposed and investigated, aiming at substituting their refractive counterparts. However, the commonly used fabrication approaches employ electron-beam lithography (EBL) followed by dry etching or atomic layer deposition (ALD) of dielectric materials, which are expensive and inefficient. Besides, dry etching of dielectric materials at sub-100 nm scale with a high aspect ratio is challenging. Herein, a new approach for dielectric metalens fabrication is presented, which combines multilayer nanoimprint lithography and solution phase epitaxy. High aspect ratio ZnO nanopillars with a height-to-diameter ratio of over 7:1 are demonstrated. By using the multilayer nanoimprint lithography, increased aspect ratio nanostructures from shallow imprinting molds are obtained. The highly anisotropic growth characteristic enables nanopillars to grow at a height that exceeds the resist thickness. With this ability, ZnO metalenses are fabricated where the height of nanopillar reaches 1.1 μm, achieving a focusing efficiency of 50%. The process is cost-effective with a high throughput, which can be widely used for many optical applications.  相似文献   

17.
Two InP‐based III–V semiconductor etching recipes are presented for fabrication of on‐chip laser photonic devices. Using inductively coupled plasma system with a methane free gas chemistry of chlorine and nitrogen at a high substrate temperature of 250 °C, high aspect ratio, anisotropic InP‐based nano‐structures are etched. Scanning electron microscopy images show vertical sidewall profile of 90° ± 3°, with aspect ratio as high as 10. Atomic Force microscopy measures a smooth sidewall roughness root‐mean‐square of 2.60 nm over a 3 × 3 μm scan area. The smallest feature size etched in this work is a nano‐ring with inner diameter of 240 nm. The etching recipe and critical factors such as chamber pressure and the carrier plate effect are discussed. The second recipe is of low temperature (?10 °C) using Cl2 and BCl3 chemistry. This recipe is useful for etching large areas of III–V to reveal the underlying substrate. The availability of these two recipes has created a flexible III–V etching platform for fabrication of on‐chip laser photonic devices. As an application example, anisotropic InP‐based waveguides of 3 μm width are fabricated using the Cl2 and N2 etch recipe and waveguide loss of 4.5 dB mm?1 is obtained.
  相似文献   

18.
Morita N  Kawasegi N  Ooi K 《Nanotechnology》2008,19(15):155302
We propose a method for fabricating three-dimensional structures on GaAs surfaces using electron beam (EB) irradiation followed by wet chemical etching. An etch-resistant hydrocarbon layer forms on the GaAs surface with the EB irradiation. Structures can be fabricated after etching using the hydrocarbon layer to block the etching. The height dependence on the irradiation and etching conditions was investigated as a means of controlling the height of the structures. A higher structure was fabricated at higher doses. The etching selectivity changed with the concentration of the etchant. A three-dimensional structure was fabricated based on these results, demonstrating the possible use of this method as a novel three-dimensional fabrication method for GaAs surfaces.  相似文献   

19.
Additive manufacturing with two‐photon polymerization (TPP) has opened new opportunities for the rapid fabrication of 3D structures with sub‐micrometer resolution, but there are still many fabrication constraints associated with this technique. This study details a postprocessing method utilizing oxygen‐plasma etching to increase the capabilities of TPP. Underutilized precision in the typical fabrication process allows this subtractive technique to dramatically reduce the minimum achievable feature size. Moreover, since the postprocessing occurs in a dry environment, high aspect ratio features that cannot survive the typical fabrication route can also be achieved. Finally, it is shown that the technique also provides a pathway to realize structures that otherwise are too delicate to be fabricated with TPP, as it enables to introduce temporary support material that can be removed with the plasma. As such, the proposed approach grants access to a massively expanded design domain, providing new capabilities that are long sought in many fields, including optics, biology, robotics, and solid mechanics.  相似文献   

20.
Periodic high aspect ratio GaAs nanopillars with widths in the range of 500-1000 nm are produced by metal-assisted chemical etching (MacEtch) using n-type (100) GaAs substrates and Au catalyst films patterned with soft lithography. Depending on the etchant concentration and etching temperature, GaAs nanowires with either vertical or undulating sidewalls are formed with an etch rate of 1-2 μm/min. The realization of high aspect ratio III-V nanostructure arrays by wet etching can potentially transform the fabrication of a variety of optoelectronic device structures including distributed Bragg reflector (DBR) and distributed feedback (DFB) semiconductor lasers, where the surface grating is currently fabricated by dry etching.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号