首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 46 毫秒
1.
High density plasma etching of zinc selenide using CH4/H2/Ar plasma chemistries is investigated. Mass spectrometry, using through-the-platen sampling, is used to identify and monitor etch products evolving from the surface during etching. The identifiable primary etch products are Zn, Se, ZnH2, SeH2, Zn(CH3)2, and Se(CH3)2. Their concentrations are monitored as ion and neutral fluxes (both in intensity and composition), ion energy, and substrate temperature are varied. General insights about the surface chemistry mechanisms of the etch process are given from these observations. Regions of process parameter space best suited for moderate rate, anisotropic, and low damage etching of ZnSe are proposed. Code 6752 Code 6174  相似文献   

2.
We report results on a study on inductively coupled plasma (ICP) etching of HgCdTe using a CH4-based mixture. Effects of key process parameters on etch rates were investigated and are discussed in this article in light of plasma parameter measurements, performed using a Langmuir probe. Process parameters of interest include ICP source power, substrate power, pressure, and CH4 concentration. We show that the ICP etching technique allows us to obtain etch rates of about 200 nm/min, which is high enough to use this technique in a manufacturing process. We also observe that the ion bombardment has a strong influence on HgCdTe etch rate. Finally, we show that this etch rate is modified by the substitution of methane for hydrogen.  相似文献   

3.
The process window for the infinite etch selectivity of silicon nitride (Si3N4) layers to ArF photoresist (PR) and ArF PR deformation were investigated in a CH2F2/H2/Ar dual-frequency superimposed capacitive coupled plasma (DFS-CCP) by varying the process parameters, such as the low frequency power (PLF), CH2F2 flow rate, and H2 flow rate. It was found that infinitely high etch selectivities of the Si3N4 layers to the the ArF PR on both the blanket and patterned wafers could be obtained for certain gas flow conditions. The H2 and CH2F2 flow rates were found to play a critical role in determining the process window for infinite Si3N4/ArF PR etch selectivity, due to the change in the degree of polymerization. The preferential chemical reaction of hydrogen with the carbon in the hydrofluorocarbon (CHxFy) layer and the nitrogen on the Si3N4 surface, leading to the formation of HCN etch by-products, results in a thinner steady-state hydrofluorocarbon layer and, in turn, in continuous Si3N4 etching, due to enhanced SiF4 formation, while the hydrofluorocarbon layer is deposited on the ArF photoresist surface.  相似文献   

4.
The etching mechanism of (Bi4−xLax)Ti3O12 (BLT) thin films in Ar/Cl2 inductively coupled plasma (ICP) and plasma-induced damages at the etched surfaces were investigated as a function of gas-mixing ratios. The maximum etch rate of BLT thin films was 50.8 nm/min of 80% Ar/20% Cl2. From various experimental data, amorphous phases on the etched surface existed on both chemically and physically etched films, but the amorphous phase was thicker after the 80% Ar/20% Cl2 process. Moreover, crystalline “breaking” appeared during the etching in Cl2-containing plasma. Also the remnant polarization and fatigue resistances decreased more for the 80% Ar/20% Cl2 etch than for pure Ar plasma etch.  相似文献   

5.
Inductively coupled plasma reactive ion etching of SiC single crystals using NF3-based gas mixtures was investigated. Mesas with smooth surfaces and vertical sidewalls were obtained, with a maximum etch rate of about 400 nm/min. Effects of CH4 and O2 addition to the NF3 gas and the crystalline quality of substrates were studied during the SiC dry etching using various masks. Selectivity of the photoresist (PR) mask improved from about 0.2 to about 0.4 by the addition of 30% CH4 during the RIE, although the etch rate decreased by 50–70%. Results also indicated that the substrate quality does not significantly affect the etch results.  相似文献   

6.
This paper describes the mechanism of selective Si3N4 etching over SiO2 in capacitively-coupled plasmas of hydrogen-containing fluorocarbon gas, including CHF3, CH2F2 and CH3F. The etch rate of Si3N4 and SiO2 is investigated as a function of O2 percentage in all plasma gases. Addition of O2 in feed gases causes plasma gas phase change especially H density. The SiO2 etch rate decreases with increase of O2 percentage due to the decline of CFx etchant. The Si3N4 etch rate is found to be strong correlated to the H density in plasma gas phase. H can react with CN by forming HCN to reduce polymer thickness on Si3N4 surface and promote the removal of N atoms from the substrate. Thus the Si3N4 etch rate increases with H intensity. As a result, a relative high selectivity of Si3N4 over SiO2 can be achieved with addition of suitable amount of O2 which corresponds to the maximum of H density.  相似文献   

7.
Reactive ion etching (RIE) was performed on gallium nitride (GaN) films grown by electron cyclotron resonance (ECR) plasma assisted molecular beam epitaxy (MBE). Etching was carried out using trifluoromethane (CHF3) and chloropentafluoroethane (C2ClF5) plasmas with Ar gas. A conventional rf plasma discharge RIE system without ECR or Ar ion gun was used. The effects of chamber pressure, plasma power, and gas flow rate on the etch rates were investigated. The etch rate increased linearly with the ratio of plasma power to chamber pressure. The etching rate varied between 60 and 500Å/min, with plasma power of 100 to 500W, chamber pressure of 60 to 300 mTorr, and gas flow rate of 20 to 50 seem. Single crystalline GaN films on sapphire showed a slightly lower etch rate than domain-structured GaN films on GaAs. The surface morphology quality after etching was examined by atomic force microscopy and scanning electron microscopy.  相似文献   

8.
The role of N2 on GaAs etching at 150 mTorr capacitively-coupled Cl2/N2 plasma is reported. A catalytic effect of N2 was found at 20-25% N2 composition in the Cl2/N2 discharges. The peak intensities of the Cl2/N2 plasma were monitored with optical emission spectroscopy (OES). Both atomic Cl (725.66 nm) and atomic N (367.05 nm) were detected during the Cl2/N2 plasma etching. With the etch rate and OES results, we developed a simple model in order to explain the etch mechanism of GaAs in the high pressure capacitively-coupled Cl2/N2 plasma as a function of N2 ratio. If the plasma chemistry condition became positive ion-deficient at low % N2 or reactive chlorine-deficient at high % N2 in the Cl2/N2 plasma, the GaAs etch rate is reduced. However, if the plasma had a more balanced ratio of Cl2/N2 (i.e. 20-25% N2) in the plasma, much higher etch rates (up to 150 nm/min) than that in pure Cl2 (50 nm/min) were produced due to synergetic effect of neutral chlorine adsorption and reaction, and positive ion bombardment. Pure Cl2 etching produced 14 nm of RMS surface roughness of GaAs. Introduction of ?20% N2 gas in Cl2/N2 discharges significantly reduced the surface roughness to 2-4 nm. SEM photos showed that the morphology of photoresist mask was strongly degraded. Etch rate of GaAs slightly increased from 10 to 40 nm/min when RIE chuck power changed from 10 to 150 W at 12 sccm Cl2/8 sccm N2 plasma condition. The surface roughness of GaAs etched at 12 sccm Cl2/8 sccm N2 plasma was 2-3 nm.  相似文献   

9.
We report on several new aspects of etching of Hg1−xCdxTe (x = 0.22), HgTe, and CdTe in CH4/H2/Ar plasmas generated by an electron cyclotron resonance plasma source. Using a residual gas analyzer, we have identified elemental Hg, TeH2, Te(CH3)2, and Cd(CH3)2 as the primary reaction products escaping from a HgCdTe surface during the plasma exposure. We have also demonstrated that a bias is not needed to etch HgCdTe at moderate temperatures (30-40°C), as previously suggested by other researchers. We have also developed a technique that avoids the formation of hydrocarbon polymer films on a HgCdTe sample during etching. Moreover, we have examined by x-ray photoelectron spectroscopy analysis and ellipsometry the surface condition of HgCdTe resulting from etching with this technique at zero bias. After exposure to the CH4/H2Ar plasma (or to a H2/Ar plasma only), the HgCdTe samples exhibited a depletion of the HgTe component in the near surface region (increase of the x-value). The depletion covered a range from virtually x = 1 after H2/Ar (10:2 in sccm) etching to values 0.4 < x < 0.5 after CH4/H2Ar (7:7:2 in seem) etching. Exposures to the plasmas were found to result in surface roughening of HgCdTe, however, plasmas rich in H2 were observed to cause significantly rougher surfaces than plasmas with small H2/CH4 ratios. This difference in the resulting surface condition is attributed solely to chemical effects since the respective ion energies are considered to be below the damage threshold for HgCdTe in both cases. We also investigated the etching of HgTe and CdTe single crystals. The etch rate of HgTe was found to be over one order of magnitude higher than that of CdTe under similar conditions. This large difference in etch rates is assumed to be responsible for the observed preferential etching of the HgTe component indicated by the HgTe depletion of the HgCdTe surface region.  相似文献   

10.
An investigation of the Ba2Ti9O20 (BTO) and Pt thin films etch mechanism in the Cl2/Ar inductively coupled plasma was carried out. It was found that an increase in Ar mixing ratio at fixed gas pressure and input power causes a fast decrease in the BTO etch rate (26.9-1.2 nm/min for 0-100% Ar) while the Pt etch rate increases slightly from 17.4-23.0 nm/min. Langmuir probe diagnostics and zero-dimensional plasma modeling provided the data on plasma parameters, steady-state composition and fluxes of active species on the etched surface. From the model-based analysis of etch kinetics, it was shown that the behavior of the BTO etch rate corresponds to the reaction-rate-limited etch regime, where the etch rate is limited neither by physical sputtering of the main material nor by the ion-stimulated desorption of low-volatile reaction products. The etch process of Pt appears in the transitional regime and is controlled by the neutral and ion fluxes together.  相似文献   

11.
Low-energy electron-enhanced etching of HgCdTe   总被引:3,自引:0,他引:3  
Low-energy electron-enhanced etching (LE4) is applied to HgCdTe to eliminate ion-induced surface damage. First, LE4 results for patterned samples are illustrated. The LE4 mechanism is understood from a mechanistic study in terms of three etch variables: direct current (DC) bias, gas composition, and sample temperature. For this paper, the effects of DC bias (electron energy) and gas composition (CH4 concentration) are summarized qualitatively, followed by quantitative evidence. Etch rate, the amount of polymer, surface stoichiometry, and surface roughness have specific relations with each etch variable under competition between pure LE4 and polymer deposition.  相似文献   

12.
We compare ECR plasma etch fabrication of self-aligned thin emitter carbondoped base InGaAs/InP DHBT structures using either CH4/H2/Ar or BCl3/N2 etch chemistries. Detrimental hydrogen passivation of the carbon doping in the base region of our structure during CH4/H2/Ar dry etching of the emitter region is observed. Initial conductivity is not recovered with annealing up to a temperature of 500°C. This passivation is not due to damage from the dry etching or from the MOMBE growth process, since DHBT structures which are ECR plasma etched in BCl3/N2 have the same electrical characteristics as wet etched controls. It is due to hydrogen implantation from the plasma exposure. This is supported with secondary ion mass spectroscopy profiles of structures which are etched in CH4/D2/Ar showing an accumulation of deuterium in the C-doped base region.  相似文献   

13.
Dry and wet chemical etching of epitaxial In0, 5Ga0.5P layers grown on GaAs substrates by gas-source molecular beam epitaxy have been investigated. For chlorine-based dry etch mixtures (PCl3/Ar or CC12F2/Ar) the etching rate of InGaP increases linearly with dc self-bias on the sample, whereas CH4/H2-based mixtures produce slower etch rates. Selectivities of ≥500 for etching GaAs over InGaP are obtained under low bias conditions with PCl3/Ar, but the surface morphologies of InGaP are rough. Both CC12F2/Ar and CH4/H2/Ar mixtures produce smooth surface morphologies and good (≥10) selectivities for etching GaAs over InGaP. The wet chemical etching rates of InGaP in H3PO4:HC1:H2O mixtures has been systemically measured as a function of etch formulation and are most rapid (∼1 μn · min−1) for high HCl compositions. The etch rate,R, in a 1:1:1 mixture is thermally activated of the formR ∝ , whereE a = 11.25 kCal · mole−1. This is consistent with the etching being reaction-limited at the surface. This etch mixture is selective for InGaP over GaAs.  相似文献   

14.
Phase change random access memory(PCRAM) is one of the best candidates for next generation nonvolatile memory,and phase change Si2Sb2Te5 material is expected to be a promising material for PCRAM.In the fabrication of phase change random access memories,the etching process is a critical step.In this paper,the etching characteristics of Si2Sb2Te5 films were studied with a CF4/Ar gas mixture using a reactive ion etching system.We observed a monotonic decrease in etch rate with decreasing CF4 concentration,meanwhile,Ar concentration went up and smoother etched surfaces were obtained.It proves that CF4 determines the etch rate while Ar plays an important role in defining the smoothness of the etched surface and sidewall edge acuity.Compared with Ge2Sb2Te5, it is found that Si2Sb2Te5 has a greater etch rate.Etching characteristics of Si2Sb2Te5 as a function of power and pressure were also studied.The smoothest surfaces and most vertical sidewalls were achieved using a CF4/Ar gas mixture ratio of 10/40,a background pressure of 40 mTorr,and power of 200 W.  相似文献   

15.
The reactive ion etching (RIE) of SiO2 in CF4 + H2 plasma is considered. The influence of activated polymer on the RIE rate of SiO2 in CF4 + H2 plasma is determined by extrapolation of experimentally measured kinetics of the etching rate. It is found that the increased surface coverage by CF2 radicals suppresses the RIE rate of SiO2 in CF4 + H2 plasma during the initial stages of the etching process. The formation of activated polymer becomes pronounced when adsorbed CF2 radicals are slowly activated. The activated polymer intensifies the etching reaction and enhances the etching rate. At the same time, the activated polymer intensifies the polymerization reactions. The increased surface coverage by the polymer suppresses the RIE rate of SiO2 in CF4 + H2 plasma at later stages of the etching process.  相似文献   

16.
One of the major GaN processing challenges is useful pattern transfer. Serious photoresist mask erosion and hardening are often observed in reactive ion etching of GaN. Fine pattern transfer to GaN films using photoresist masks and complete removal of remaining photoresist after etching are very difficult. By replacing the etch mask from conventional photoresist to a sputtered iron nitride (Fe-8% N) film, which is easily patterned by wet chemical etching and is very resistive to Cl based plasmas, GaN films can be finely patterned with vertical etched sidewalls. Successful pattern transfer is realized by reactive ion etching using Cl (H) containing plasmas. CHF3/Ar, C2ClF5/Ar, C2ClF5/Ar/O2, SiCl4, and CHCl3 plasmas were used to etch GaN. The GaN etch rate is dependent on the crystalline quality of GaN. Higher crystalline quality GaN films exhibit slower etch rates than GaN films with higher dislocation and stacking fault density.  相似文献   

17.
The etching characteristics of InGaAlAs alloys lattice-matched to InP were investigated using low pressure (1 mTorr) electron cyclotron resonance CH4:H2:Ar or CCl2F2:Ar discharges with additional radiofrequency biasing of the samples. Using CCl2F2:Ar discharges with ≥250V negative bias it is possible to obtain equi-rate etching of the material for all compositions between In0.53Ga0.47As and In0.52Al0.48As. At lower bias values, formation of A1F3 on the surface leads to an inhibition of the etch rates. By making use of the differential etch rates of InGaAlAs layers of different compositions in CH4:H2:Ar mixtures, it is possible to choose dc bias values that allow one to stop the etching at a pre-selected depth in a multi-layer structure. For example, for -150 V bias, one can etch through In0.53Ga0.47As, In0.53Ga0.40Al0.07As and Ino.53Ga0.30Al0.17As layers, and stop at an underlying layer with composition In0.53Ga0.20Al0.27As.  相似文献   

18.
H3PO4, NaOH, and KOH solutions are found to be useful for removing nitrogen depleted layers or damage induced by high temperature annealing or dry etching of metalorganic chemical vapor deposition-grown (0001)GaN/Al2O3. Solutions are selective to the (0001)plane of GaN. However, certain flat planes etched without etch pits are exposed by wet etching.  相似文献   

19.
To avoid plasma induced erosion of chamber hardware, the application of remote plasma sources to activate the etch gases was introduced. We present results on the etch behaviour of titanium nitride (TiN) using mixtures of NF3, Cl2 and argon. The gas mixture was excited in a remote plasma source and then routed through a reaction chamber to study the etch behaviour of TiN samples which simulate the situation at the chamber walls. The dependency of the TiN etch rate on temperature, gas flow, composition and pressure was examined. While the temperature (studied in the range 25-300 °C) turned out to be the most sensitive parameter, the general etch rate was mainly dependent on the availability of atomic fluorine. Etch products and NF3/Cl2 dissociation have been monitored by quadrupole mass spectrometry and infrared spectroscopy. While NF3 showed a high decomposition up to 96%, chlorine decomposition was not observed. However the addition of chlorine increased the etch rates up to 260% in the low pressure/low temperature regime. Surface effects of chlorine addition are indicated by X-Ray Photoelectron Spectrometry and REM surface analysis.  相似文献   

20.
SiCl4-based reactive ion etching (RIE) is used to etch MgxZn1−xO (0≤x≤0.3) films grown on r-plane sapphire substrates. The RIE etch rates are investigated as a function of Mg composition, RIE power, and chamber pressure. SiO2 is used as the etching mask to achieve a good etching profile. In comparison with wet chemical etching, the in-plane etching anisotropy of MgxZn1−xO (0≤x≤0.3) films is reduced in RIE. X-ray photoelectron spectroscopy measurements show that there is no Si and Cl contamination detected at the etched surface under the current RIE conditions. The influence of the RIE to the optical properties has been investigated.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号