首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
在由FPGA超前进位单元级联构成的抽头延时链中,非线性通常较差,是TDC测量系统需要解决的重要问题之一。为了解决该问题,文章在已有的抽头采样序列(“SCSC”)基础上,提出了“混合”抽头采样序列的方法,显著改善了延时单元的非均匀性。所搭建的TDC包含了抽头延时链、采样逻辑电路、编码逻辑电路、码密度校准等模块,并在Xilinx Kintex-7系列芯片上进行验证。测试结果表明,提出的方法相较于“SCSC”序列下的微分非线性降低了32.0%,积分非线性降低了22.8%。通过进一步校准,所实现的TDC分辨率(LSB)为13.51 ps,测量精度为19.17 ps,微分非线性为[-0.45, 0.96] LSB,积分非线性在[-3.27, 1.33] LSB之间。  相似文献   

2.
该文提出一种通用的时间数字转换器(TDC)码密度校准信号产生方法,该方法基于相干采样理论,通过合理设置TDC主时钟和校准信号之间的频率差,结合输出信号保持电路,产生校准用的随机信号,在码密度校准过程中,随机信号均匀分布在TDC的延时路径上,实现对TDC的bin-by-bin校准。基于Xilinx公司的28 nm工艺的Kintex-7 现场可编程门阵列(FPGA)内部的进位链实现一种plain TDC,利用该方法校准plain TDC的码宽(抽头延迟时间),研究校准了2抽头方式下的TDC的性能参数,时间分辨率(对应TDC的最低有效位,Least Significant Bit, LSB)为24.9 ps,微分非线性为(–0.84~3.1)LSB,积分非线性为(–5.0~2.2)LSB。文中所述的校准方法采用时钟逻辑资源实现,多次测试考核结果表明,单个延时单元的标准差优于0.5 ps。该校准方法采用时钟逻辑资源代替组合逻辑资源,重复性、稳定性较好,实现了对plain TDC的高精度自动校准。该方法同样适用于其他类型的TDC的码密度校准。  相似文献   

3.
提出了一种基于Xilinx Virtex-5 FPGA的时钟相移采样(SCS)时间数字转换器(TDC)。利用Virtex5内部的时钟管理模块(CMT)产生16路固定相移的时钟信号,经过16路D触发器对输入信号同时进行采样量化。与传统的基于抽头延迟链结构相比,所用资源更少,性能更加稳定。仿真结果表明,该TDC的精度高于64 ps,占用数字时钟管理(DCM)与锁相环(PLL)资源小于20%,积分非线性(INL)和微分非线性(DNL)都小于0.3 LSB。  相似文献   

4.
针对超导纳米线单光子探测器(SNSPD)应用需求的多样化,设计了一款面向SNSPD的可拓展时间抖动测量模块。基于对SNSPD系统时间抖动测量原理的分析,设计了数字化单元、时间数字转换(TDC)单元和现场可编程门阵列(FPGA)单元,实现对SNSPD输出信号的数字化、时间信息测量以及数据读取。对该模块TDC单元的分辨率、线性度和时间精度分别标定,测试结果表明TDC单元的分辨率好于55ps,测量数据呈线性,100ns以内时间精度低于36ps。通过结合实用化SNSPD系统,实现了100ps左右的时间抖动表征,并与商用时间相关单光子计数(TCSPC)模块进行对比,验证了该模块对于SNSPD系统时间抖动测量的可行性。  相似文献   

5.
高精度事件计时器的设计与实现   总被引:2,自引:0,他引:2  
李祝莲  熊耀恒 《中国激光》2008,35(12):2022-2025
高频率、大范围和高精度是现代卫星/月球激光测距(SLR/LLR)的发展趋势,需要高精度事件计时器作为其时问测量单元.分析研究了事件计时器测量时间的原理,并基于时间数字转换(TDC)和现场可编程门阵列(FPGA)技术,用TDC芯片测量微小时间间隔,同时结合FPGA芯片设计和实现整个高精度事件计时器.进行了信号周期测量实验,结果表明,该测量仪准确度高,标准偏差值优于50 ps,系统误差小于11 ps,量程为24 h,温度漂移小于100 fs/℃,短期稳定性好于±3ps/h.  相似文献   

6.
时间测量系统在激光雷达中主要用于激光脉冲飞行时间的测量,其性能直接影响着激光雷达的各项指标.基于FPGA设计了一种应用于光子计数激光雷达的时间-数字转换(Time-to-Digital Converter,TDC)系统,利用延迟线内插在FPGA内部实现了高精度的时间测量,通过实验分析,研究了TDC系统的性能及其应用于光子计数激光雷达后的效果.实验结果表明,TDC系统的时间分辨率达到29 ps,测时精度37 ps,能够实现9通道的高精度事件计时功能,用于光子计数激光雷达后,整个激光雷达系统的测时精度为421 ps,达到6.3 cm的距离测量精度,能够实现高精度高分辨率的激光三维成像.  相似文献   

7.
测试和测量     
具有5ps分辨率的时间数据转换仪(TDC) Acqiris U1050A时间数字转换仪(TDC)产品系列增添一新成员。该新款产品具有优异定时分辨率,适用于通信、核研究及武器物理等应用领域中进行精密定时测量及时间间隔分析。该单次(single-hit)TDC时间数字转换仪(U1050A-002)拥有5ps定时分  相似文献   

8.
武建平  张聪 《微电子学》2020,50(4):521-526
研究了用于超低功耗全数字锁相环(ADPLL)的时间数字转换器(TDC)在近阈值电源电压下的工作原理,提出了一种近阈值电压时间转换器。采用两级量化的TDC,通过时间放大器对量化余量进行放大,实现二次量化。针对TDC低压下的功耗、速度问题,实现了一种增益可扩展的时间放大器,提高了时间分辨率。基于130 nm CMOS工艺的仿真结果表明,两级量化时间数字转换器的分辨率为2.5 ps,动态范围为640 ps,微分非线性(DNL)最大值为0.9 LSB,积分非线性(INL)最大值为2.3 LSB。4倍时间放大器的增益误差为8.2%。  相似文献   

9.
快速锁定是全数字锁相环(ADPLL)的关键指标之一.在理想情况下,锁定时间应尽可能短.传统结构ADPLL(TS-ADPLL)通常使用自适应带宽技术或数控振荡器(DCO)调谐字和预设技术来减少锁定时间.然而,自适应带宽技术和预设技术都需要额外的模块,这将增加额外的功耗.为了提升全数字锁相环的锁定速度,本文提出了一种基于高分辨时间数字转换器(TDC)快速锁定的全数字锁相环(ADPLL)电路.其中,TDC电路采用双级触发器和抽头延迟链相结合的结构,不仅提升了电路对信号的容纳程度,还提高了量化误差信号的分辨率以及电路的锁定速度.同时,通过双SR锁存器完成对参考信号超前或滞后的鉴定,可以更好的检测参考信号与输出信号的相位关系,利于系统对输出信号的相位调整及信号的锁定.采用XILINX Artix-7 FPGA器件进行验证仿真.仿真结果表明,该ADPLL的锁定时间可达3.9μs,其锁定范围为4.7 MHz~35.7 MHz.该ADPLL电路具有锁定速度快,锁定范围大等特点.  相似文献   

10.
针对延时脉冲发生器在外触发模式下,触发信号与时钟信号不同步造成的随机抖动问题,提出了一种随机抖动消除方法。该方法在FPGA(Field-Programmable Gate Array, FPGA)内部设计多路并行TDC(Time-to-Digital Converter, TDC)对随机抖动进行实时精确测量,然后通过数字延时和压控模拟延时电路进行相应随机抖动的补偿,从而提高了脉冲延时的分辨率和精度。测试结果表明,测量模块造成脉冲的抖动为18.9 ps,抖动补偿模块的抖动为4.2 ps,最终系统的抖动为19.3 ps。  相似文献   

11.
介绍了一种在FPGA中基于Wave Union技术而实现的32通道高精度时间数字转换器(time-to-digital convertor,TDC)电路.利用加法器进位链的进位延迟,输入击中前沿产生wave union送到进位链-寄存器阵列结构中做多次测量,有效地细分了进位链中的超宽码(ultra-wide bins),提高了时间间隔测量精度.经过初步的时序仿真和硬件测试,验证结果表明该TDC电路基本满足设计要求.  相似文献   

12.
王巍  周浩  熊拼搏  李双巧  杨皓  杨正琳  袁军 《微电子学》2016,46(6):777-780, 787
提出了一种基于Xilinx Virtex-5 FPGA的时间数字转换器。利用Virtex-5中专用进位链CARRY4构造的延迟链,对时钟周期进行内插以得到更高精度的测量。此外,运用布局布线约束来减少延迟链的不一致性,降低了微分非线性(DNL)以及积分非线性(INL)。仿真结果表明,最低有效位(LSB)为52.22 ps,精度(RMS)约为25 ps,INL为0~0.9 LSB,DNL为-0.03~0.1 LSB。  相似文献   

13.
设计了一种基于维纳延迟环的时间数字转换器(TDC)。该TDC基于TSMC 0.18 μm CMOS工艺进行设计,实现了高分辨率和高线性度。采用一种新型环形传播延迟结构来代替时钟信号,相比传统结构,减少了1组粗-精2级插值器的使用。粗计数器由该新型环形传播延迟结构和6位计数器构成,实现了输入的START信号与周期信号同步,测量动态范围达到208 ns。粗-精2级插值器中,第1级由粗插值器和同步器构成,第2级是一个基于单阶维纳环的精插值器。利用维纳环的循环滑动测量技术,有效提高了TDC的转换线性度。仿真结果表明,该TDC的分辨精度可达10 ps,微分非线性低于20 ps,积分非线性低于30 ps。  相似文献   

14.
在精密时频测控领域中,高分辨率、无死区的时间间隔和频率测量非常关键,而时间数字转换器(Timeto Digital Converter,TDC)是时间频率测量的常用手段.该文研制了基于ACAM公司生产的时间数字转换芯片TDC-GP21和Altera公司FPGA芯片EP4CE6E22C8N的时间频率测量设备,实现了高分辨率的时间间隔测量,测量分辨率达到13ps.同时采用时间间隔测量模块两两组合的方式实现了无死区频率测量,创新性地采用每组3个TDC芯片,共4组搭建了时间频率测量系统,并对组内3个TDC芯片测量结果采用平均值滤波法,使频率测量稳定度达到1.1×10-11@5.6×10-15@10000 s,与商用K+K FXE频率计数器指标相当.本设备具有体积小、无需校准、成本低等优点,能够广泛应用到高精度时间间隔和精密频率测量领域中.  相似文献   

15.
王巍  董永孟  李捷  熊拼搏  周浩  杨正琳  王冠宇  袁军  周玉涛 《微电子学》2015,45(6):698-701, 705
采用Xilinx Virtex-5 FPGA芯片,实现了一种高精度、多通道时间数字转换器的设计。每个通道配有一条抽头延迟线,每条延迟线由64个快速超前进位链(CARRY4)组成。布线后,延迟线成链状结构紧密排列,有效消除了布线路径带来的误差,降低了积分非线性和微分非线性误差。仿真结果表明,设计的时间数字转换器的最低有效位约为26.35 ps,有效精度约为14 ps,INL小于4.3 LSB,DNL在-0.8 LSB~2.4 LSB范围内。  相似文献   

16.
星载激光测距仪的高精度时间间隔测量单元   总被引:2,自引:0,他引:2  
雷琳君  杨燕  陈卫标 《中国激光》2007,34(10):1422-1426
高精度时间间隔测量单元(TIU)是星载激光测距仪的关键部件。基于现场可编程门阵列(FPGA)研制出了满足星载要求的高精度、高集成度时间间隔测量单元。该单元采用数字计数法结合数字延迟线插入法的技术,在0.5~10 km的测量距离范围内,时间分辨率为500 ps。通过地面检测,在全程范围内保持了良好的线性度,标准偏差小于270 ps。该单元同时具备测量脉冲回波宽度的能力,可以获取目标的脉冲展宽信息。由于单元选用的元器件都具有航天产品性能,因此其设计和技术指标可满足星载激光测距仪的应用。  相似文献   

17.
夏明赟  蒋涛 《通信技术》2012,45(7):113-115
短时傅里叶变换(STFT)由于其算法简单、处理时间短及易于实现等优点,因此其在图像处理、语音分析、信号检测及参数估计等领域获得越来越多应用。通过分析短时傅里叶变换算法原理,设计了一种基于现场可编程逻辑器件(FPGA)的高速短时傅里叶实现结构,该结构充分利用蝶形单元运算特点,在满足时间分辨率及频率分辨率的基础上降低了运算复杂度,并在高速率运行时钟下节省了硬件资源。  相似文献   

18.
精密时间间隔测量系统方案设计   总被引:1,自引:0,他引:1  
精密时间间隔测量技术在许多研究和应用领域都有十分重要的地位。基于数字信号处理(DSP)和现场可编程门阵列(FPGA)技术,将脉冲计数法和时间延展法相结合,设计了一个精密时间间隔测量方案。该方案测量范围由计数器决定,分辨率则由时间延展单元决定,分辨率为100ps,量程为650μs。该方法具有设计简单灵活、集成度高等优点,可广泛应用于时间、频率测量领域。  相似文献   

19.
合成脉冲信号延迟的一种精密控制电路设计   总被引:1,自引:0,他引:1  
文中提出一种基于FPGA和高速ECL器件,利用计数器和延迟线实现标准时钟信号的延迟,从而实现精密控制脉冲延迟大范围连续可调的方法。该技术可实现频率覆盖范围为50MHz-250MHz,脉冲可调延迟范围为0us-3us,分辨率为10ps的脉冲信号延迟。  相似文献   

20.
文中提出一种基于FPGA和高速ECL器件,利用计数器和延迟线实现标准时钟信号的延迟,从而实现精密控制脉冲延迟大范围连续可调的方法。该技术可实现频率覆盖范围为50MHz~250MHz,脉冲可调延迟范围为0us~3us,分辨率为10ps的脉冲信号延迟。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号