首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 0 毫秒
1.
一种基于FPGA实现的真随机数发生器   总被引:1,自引:0,他引:1  
本文分析和实现了一种基于FPGA的真随机数发生器,采用对延迟链各级输出同时采样的方法来增加输出序列的随机性。电路为纯数字形式,50MHz采样时钟采得的输出数据可以无需后处理,直接通过随机性测试,且未发现随机性与采样频率存在显著联系。  相似文献   

2.
设计了一个基于FPGA的高速、高性能的高斯随机数发生器。首先简要介绍了以前的一些算法并指出其不足之处。然后阐明了本文的算法:对均匀随机数进行高效的变换以生成非常接近高斯分布的随机数,再依据中心极限定理把两个上述随机数相加得到高斯随机数。算法所需的运算只有RAM的读操作与乘法、加法运算。分析了算法的性能并与其他算法做了对比,证明了本文算法的高效性。最后给出了FPGA实现的系统结构,并分析了所需的硬件资源。  相似文献   

3.
伪随机数发生器的FPGA实现与研究   总被引:39,自引:0,他引:39  
在很多实际应用中,直接利用FPGA产生伪随机序列的方法可以为系统设计或测试带来极大的便利。本文给出了基于线性反馈移位寄存器电路,并结合FPGA的特有结构,设计了一种简捷而又高效的伪随机序列产生方法。最后通过统计对比,说明了这种方法所产生的随机序列不仅可具有极长的周期,而且还具有良好的随机特性。  相似文献   

4.
张聪  于忠臣 《电子设计工程》2011,19(10):176-179
设计并实现了一种基于FPGA的真随机数发生器,利用一对振荡环路之间的相位漂移和抖动以及亚稳态作为随机源,使用线性反馈移位寄存器的输出与原始序列运算作为后续处理。在Xilinx Virtex-5平台的测试实验中,探讨了振荡器数量以及采样频率等参数对随机序列的统计特性的影响。测试结果表明本设计产生的随机序列能够通过DIEHARD测试,性能满足要求。由于仅使用了普通逻辑单元,使得本设计能够迅速移植到ASIC设计,大大缩短了开发周期。  相似文献   

5.
为了模拟信道误码特性,提出了一种基于均匀分布的伪随机误码发生器的设计方法.该方法不用产生高斯分布伪随机数,只需在均匀分布随机数的基础上设置不同的判决门限即可得到不同的误码率,误码率和误码的位置可控.硬件实验表明,该方法比采用高斯随机数的方案节省约96%的资源.  相似文献   

6.
《现代电子技术》2017,(16):151-154
基于双FPGA芯片的可重构原型系统,提出一种系统高速全局动态重构设计方法。利用Xilinx Virtex-7系列FPGA的常规配置通道,使用一片规模较小的FPGA芯片作为重构控制器对大规模算法FPGA芯片实现全局动态重构。实验结果表明,系统重构时间小于60 ms,与常规FPGA逻辑下载方法相比,配置效率提高了2~3个数量级。  相似文献   

7.
对随机数发生器进行有效的统计性能测试在离散系统仿真中具有重要意义。从数理统计的角度出发,分析了随机数序列参数检验、均匀性检验和独立性检验的数学基础,并以此为基础运用C++设计了基于动态链接库的随机数发生器统计性能测试软件包。在该测试软件包中,对随机数发生器产生的[0,1]均匀分布随机数序列的参数特性、均匀性和独立性分别从多个方面加以检验,提高了测试的完备性和正确性。最后,通过实例验证了该测试软件包的有效性。  相似文献   

8.
基于FPGA的动态可重构系统设计与实现   总被引:2,自引:0,他引:2  
近年来,随着计算机技术的发展,尤其是现场可编程门阵列FPGA的出现,使实时电路重构成为研究热点.基于FPGA的重构系统具有自适应、自主修复特性,在空间应用中具有非常重要的作用.介绍FPGA可重构技术的分类以及动态可重构技术的原理,并在此基础之上选取Virtex-4系列FPGA给出一种动态重构的应用以及具体实现,即通过微处理器(ARM)结合多个FPGA,并采用一种新的边界扫描链方法对多个FPGA进行配置,从而实现局部动态可重构.这种实现方法具有较强通用性和适于模块化设计等优点.  相似文献   

9.
基于混沌的高速真随机数发生器的设计与实现   总被引:5,自引:0,他引:5  
俞俊  沈海斌  严晓浪 《半导体学报》2004,25(8):1013-1018
选取分段线性的混沌表达式来设计真随机数发生器,具体分析了表达式中参数对迭代产生的序列的影响,并给出了最佳的参数选择范围.真随机数发生器由模拟电路实现,整个电路由八级结构相同的子电路和一级抗饱和电路构成.每级子电路都由运算电路和采样/保持电路两部分组成,同时,分析了它们的工作过程和仿真结果.介绍了如何在开关电容电路中消除电荷注入对电路的影响.所设计的真随机数发生器芯片采用TSMC的0 .2 5 μm,mixed signal的工艺进行流片,芯片面积为2 .34m m2 ,并完成了对芯片的测试工作  相似文献   

10.
选取分段线性的混沌表达式来设计真随机数发生器,具体分析了表达式中参数对迭代产生的序列的影响,并给出了最佳的参数选择范围.真随机数发生器由模拟电路实现,整个电路由八级结构相同的子电路和一级抗饱和电路构成.每级子电路都由运算电路和采样/保持电路两部分组成,同时,分析了它们的工作过程和仿真结果.介绍了如何在开关电容电路中消除电荷注入对电路的影响.所设计的真随机数发生器芯片采用TSMC的0.25μm,mixed signal的工艺进行流片,芯片面积为2.34mm2,并完成了对芯片的测试工作.  相似文献   

11.
基于时钟振荡采样原理,提出一种真随机数发生器结构。利用噪声源数学模型保证噪声源的可靠,利用并行输出及控制的方式确保随机数的输出速率,参考FIPS 140-2设计在线随机测试模块以避免遭受硬件篡改问题。通过测试表明,序列的产生速率可达33.5Mb/s,且具有较高的质量,可应用于密码芯片等相关领域产品中。  相似文献   

12.
一种基于混沌的随机数发生器设计及其IC实现   总被引:1,自引:1,他引:0  
在密码学、仿真学以及集成电路测试等许多领域 ,随机数起着重要的作用。在密码学中 ,通常要求所使用的随机数具有不可预测性。基于混沌现象 ,使用开关电容技术 ,用集成电路实现了一种硬件随机数发生器。测试结果表明 ,其产生的序列具有不可预测性 ,可以满足密码学的应用要求。  相似文献   

13.
一种基于噪声的真随机数发生器的ASIC设计与实现   总被引:6,自引:0,他引:6  
提出了一种应用于密码系统的硬件随机数发生器的ASIC实现,即通过振荡采样把相位噪声转变为随机数.为了使输出平稳,在输出级设计了异或链和伪随机网络.理论研究和仿真测试证明,该方案能生成分布均匀、彼此独立的随机信号.经制版流片后,芯片在1 MHz时钟下输出满足随机性测试的串行随机数.  相似文献   

14.
提出一种基于FPGA的高熵真随机数发生器,采用非传统锁存器结构,并结合改进的随机数采集方法来获取真随机数。相对于FPGA上广泛采用的真随机数发生器,该高熵真随机数发生器具有较低的资源消耗。与参考方法相比,改进的随机数采集方法有效提升了数据产生速率。实验结果表明,该真随机数发生器对于温度(20 ℃~80 ℃)和电压(0.9~1.1 V)的变化具有较高的鲁棒性,所产生的真随机数均能通过NIST随机性测试。在正常工作条件下,随机数产生速率为14.2 Mbit/s。  相似文献   

15.
基于电阻热噪声的真随机数发生器设计   总被引:8,自引:3,他引:5  
本文分析和设计了一种基于电阻热噪声的真随机数发生器,系统内部集成了失调控制系统.用以提高随机性能,系统时钟达到4MHz,并采用Chartered-0.35μm-3.3V的工艺模型进行了HSPICE仿真。输出速率可以达到2Mb/s。  相似文献   

16.
为了满足对随机数性能有一定要求的系统能够实时检测随机数性能的需求,提出了一种基于FPGA的随机数性能检测设计方案。根据NIST的测试标准,采用基于统计的方法,在FPGA内部实现了对随机序列的频率测试、游程测试、最大游程测试、离散傅里叶变换测试和二元矩阵秩测试。与现在常用的随机数性能测试软件相比,该设计方案,能灵活嵌入到需要使用随机数的系统中,实现对随机性能的实时检测。实际应用表明,该设计具有使用灵活、测试准确、实时输出结果的特点,达到了设计要求。  相似文献   

17.
高斯相干态是量子密码通信中最重要的连续变量量子态,如何高效地生成高斯随机数对连续变量量子密码通信的仿真具有重要的意义。本文根据Box-Muller方法,设计了一个基于SoPC系统的高斯随机数生成器,并下载到Spartan 3s1500MB开发板上验证成功。实验结果表明,用该方法生成高斯随机数的速度快,准确度高。  相似文献   

18.
基于混沌的高速随机数发生器   总被引:1,自引:0,他引:1  
基于混沌的随机数发生器采用了离散时问的决定论混沌系统。决定论混沌的一个本质特征是对初始值的敏感依赖性。由于初始值是一个模拟电路的初值,对于数字测量系统是永远无法逼近或达到的,它的偏差使得测量系统产生的符号序列以后有着充分大的分离,从而使得符号序列不可预知、不可再现,具有真随机的特性。在分析了一类分段线性映射的决定论混沌系统的基本特性后,设计了由开关电容电路等组成的模拟电路。为了保证随机序列的分布特性,针对CMOS电路中主要的噪声,即MOS管的热噪声与闪烁噪声,设计时建立了二种噪声仿真模型;同时为了加快分析的效率和速度,提出了一种快速分析方法。最后,采用NIST标准进行了测试。  相似文献   

19.
随机数在应用程序中被广泛使用,很多的程序设计往往使用简单的线性适配随机发生器(函数);由于它的可预测性,存在安全方面的隐患。因此,一个健壮的随机数发生器有着不可忽略的重要性.对Windows下健壮的随机数发生器的设计做了论述,并给出必要的实现代码。  相似文献   

20.
在信息通信安全领域内,密钥的安全性直接影响公开加密算法的安全性,而密钥的安全性又与随机数的产生及其随机性能的优良息息相关,所以随机数的作用就变得非常的重要。文章基于FPGA硬件来实现高斯随机数生成,算法实现总体共分为两部分,第一部分采用Combined Tausworthe算法实现产生均匀分布的随机数序列;第二部分为Box Mulle算法,利用两组均匀分布的随机数通过转换来产生高斯随机数。产生的随机数的随机性表现良好。高斯随机数目前是应用最为广泛的一类随机数,所以对FPGA的高斯随机数生成器的研究具有非常重要的实际意义。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号