首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
GaN thin films were etched by inductively coupled plasma (ICP). The effects of BCl3 and Ar with different Cl2 fraction are studied and compared. The ICP power and RF power are also altered to investigate the different effects by using Cl2/BCl3 or Cl2/Ar as etching gases. The etch rate and surface morphology of the etched surface are characterized by using surface profiler, scanning electron microscopy and atomic force microscopy. The root-mean-square roughness values are systematically compared. It is found that the etch rates of Cl2/Ar are higher than that of the Cl2/BCl3 in the Cl2 fraction ranging from 10 to 90%. When the ICP power is increased, the RMS roughness of GaN surface after ICP etching shows reverse trend between Cl2/BCl3 and Cl2/Ar gas mixture. The results indicate quite different features using Cl2/BCl3 and Cl2/Ar for GaN ICP etcing under the same conditions.  相似文献   

2.
Cl2/Ar based inductively coupled plasma (ICP) etching of GaN is investigated using photoresist mask in a consequential restricted domain of pressure < 1.2 Pa and radio frequency (RF) sample power < 100 W, for selective mesa etching. The etch characteristics and root-mean-square (rms) surface roughness are studied as a function of process parameters viz. process pressure, Cl2 percentage in total flow rate ratio, and RF sample power at a constant ICP power, to achieve moderate GaN etch rate with anisotropic profiles and smooth surface morphology. The etch rate and resultant surface roughness of etched surface increased with pressure mainly due to dominant reactant limited etch regime. The etch rate and surface roughness show strong dependence on RF sample power with the former increasing and the later decreasing with the applied RF sample power up to 80 W. The process etch yield variation with applied RF sample power is also reported. The studied etch parameters result in highly anisotropic mesa structures with Ga rich etched surface.  相似文献   

3.
Inductively coupled plasma reactive ion etching of CoZrNb magnetic thin films was studied using a TiN hard mask in a Cl2/O2/Ar gas mix. The etch rates of CoZrNb films and TiN hard mask gradually decreased with increasing Cl2 or O2 gas concentrations. When O2 gas was added in the Cl2/Ar gas mix, the etch rate of TiN hard mask was suppressed effectively so that the etch selectivity of CoZrNb film to TiN hard mask was enhanced. The addition of O2 into the gas mix also led to the anisotropic etching of the CoZrNb films and it was confirmed by Auger electron spectroscopy that there were no redeposited materials on the sidewall of the etched films. Highly anisotropic etching of CoZrNb films was achieved at room temperature under the optimized etching conditions.  相似文献   

4.
Etch characteristics of magnetic tunnel junction (MTJ) stack masked with TiN films were investigated using an inductively coupled plasma reactive ion etcher in Cl2/Ar and BCl3/Ar gases for magnetic random access memory. The effect of etch gas on the etch profile of MTJ stacks was examined. As Cl2 and BCl3 concentrations increased, the etch slope of etched MTJ stack became slanted and the dimensional shrinkage was observed. A high degree of anisotropic etching of MTJ stacks was achieved using Cl2/Ar gas at the optimized etch conditions.  相似文献   

5.
Do Young Lee 《Thin solid films》2009,517(14):4047-4051
Inductively coupled plasma reactive ion etching of indium zinc oxide (IZO) thin films masked with a photoresist was performed using a Cl2/Ar gas. The etch rate of the IZO thin films increased as Cl2 gas was added to Ar gas, reaching a maximum at 60% Cl2 and decreasing thereafter. The degree of anisotropy in the etch profile improved with increasing coil rf power and dc-bias voltage. Changes in pressure had little effect on the etch profile. X-ray photoelectron spectroscopy confirmed the formation of InCl3 and ZnCl2 on the etched surface. The surface morphology of the films etched at high Cl2 concentrations was smoother than that of the films etched at low Cl2 concentrations. These results suggest that the dry etching of IZO thin films in a Cl2/Ar gas occurs according to a reactive ion etching mechanism involving ion sputtering and a surface reaction.  相似文献   

6.
H.Y. Jung  H.J. Lee  C.Y. Jeong 《Thin solid films》2009,517(14):3938-3941
Extreme ultraviolet lithography (EUVL) is the most promising candidate for next generation lithography due to its feature size of 32 nm or below. We investigated the etching properties of materials in an alternating, phase-shift mask (PSM) structure for EUVL, including a Ru top capping layer, Mo-Si multilayer (ML) and Ni etch stop layer (ESL), by varying the Cl2/O2 and Cl2/Ar gas flow ratios, and the dc self-bias voltage (Vdc) in inductively coupled plasma (ICP). The Ru layer could be etched effectively in Cl2/O2 plasmas and Mo-Si ML could be etched with an infinitely high etch selectivity over Ni ESL in Cl2/Ar plasmas, even with increasing overetch time.  相似文献   

7.
Plasma-surface interactions in Cl- and Br-based plasmas have been studied for advanced front-end-of-line (FEOL) etching processes in nanoscale ULSI device fabrication. A Monte Carlo-based atomic-scale cellular model (ASCeM) was developed to simulate the feature profile evolution on nanometer scale during Si etching in Cl2 and Cl2/O2 plasmas, including surface oxidation, inhibitor deposition, and ion reflection and penetration on surfaces. A classical molecular dynamics (MD) simulation for Si/Br and Si/HBr as well as Si/Cl systems was also developed, along with an improved Stillinger-Weber interatomic potential model for Si/halogen interactions, to clarify surface reaction kinetics on atomic scale during Si etching in Cl2 and HBr plasmas. The numerical results revealed the origin of profile or surface anomalies such as microtrench, roughness, and residue, and also etching fundamentals such as etch yield, product stoichiometry, and atomistic surface structures. Moreover, the etching of high-k dielectric and metal electrode materials, such as HfO2 and TaN, was investigated in BCl3- and Cl2-containing plasmas with and without rf biasing, to gain an understanding of the etch mechanisms and to achieve anisotropic and selective etching of metal/high-k gate stacks.  相似文献   

8.
Etching characteristics of high-k dielectric materials (HfO2) and metal electrode materials (Pt, TaN) have been studied in high-density chlorine-containing plasmas at pressures around 10 mTorr. The etching of HfO2 was performed in BCl3 without rf biasing, giving an etch rate of about 5 nm/min with a high selectivity of >10 over Si and SiO2. The etching of Pt and TaN was performed in Ar/O2 with high rf biasing and in Ar/Cl2 with low rf biasing, respectively, giving a Pt etch rate of about several tens nm/min and a TaN etch rate of about 200 nm/min with a high selectivity of >8 over HfO2 and SiO2. The etched profiles were outwardly tapered for Pt, owing to the redeposition of etch or sputter products on feature sidewalls, while the TaN profiles were almost anisotropic, probably owing to the ion-enhanced etching that occurred.  相似文献   

9.
In this study, we carried out an investigation of the etching characteristics (etch rate, selectivity) of HfO2 thin films in the CF4/Ar inductively coupled plasma (ICP). The maximum etch rate of 54.48 nm/min for HfO2 thin films was obtained at CF4/Ar (=20:80%) gas mixing ratio. At the same time, the etch rate was measured as function of the etching parameters such as ICP RF power, DC-bias voltage, and process pressure. The X-ray photoelectron spectroscopy analysis showed an efficient destruction of the oxide bonds by the ion bombardment as well as an accumulation of low volatile reaction products on the etched surface. Based on these data, the chemical reaction was proposed as the main etch mechanism for the CF4-containing plasmas.  相似文献   

10.
GaN mesa etching is investigated using BCl3/Cl2 based inductively coupled plasma at constant ICP/RF powers for HEMT fabrication. The effect of chamber process pressure (5-15 mTorr) and BCl3/Cl2 flow rate ratio >1 on mesa sidewall profile is studied in detail using less complex photoresist mask. Mesa sidewall sharpness varied strongly with chamber pressure and deteriorated at lower pressure ∼5 mTorr. The etched GaN mesas resulted in severely damaged sidewalls with significant sidewall erosion at BCl3/Cl2 ratio of <1, which reduced gradually as BCl3/Cl2 ratio was increased to values >1 mainly due to decreased Cl ion/neutral scattering at the edges. Finally, the smooth and sharp mesa sidewalls with angle of ∼80° and moderate GaN etch rate of ∼1254 Å/min are obtained at BCl3/Cl2 ratio of 2.5:1 and 10 mTorr pressure due to a better balance between physical and chemical components of ICP etching.  相似文献   

11.
Etch characteristics of L10 FePt thin films masked with TiN films were investigated using an inductively coupled plasma (ICP) reactive ion etching in a CH3OH/Ar plasma. As the CH3OH gas was added to Ar, the etch rates of FePt thin films and TiN hard mask gradually decreased, and the etch profile of FePt films improved with high degree of anisotropy. With increasing ICP rf power and dc-bias voltage to substrate and decreasing gas pressure, the etch rate increased and the etch profile becomes vertical without any redepositions or etch residues. Based on the etch characteristics and surface analysis of the films by X-ray photoelectron spectroscopy, it can be concluded that the etch mechanism of FePt thin films in a CH3OH/Ar gas does not follow the reactive ion etch mechanism but the chemically assisted sputter etching mechanism, due to the chemical reaction of FePt film with CH3OH gas.  相似文献   

12.
Inductively coupled plasma reactive ion etching of titanium thin films patterned with a photoresist using Cl2/Ar gas was examined. The etch rates of the titanium thin films increased with increasing the Cl2 concentration but the etch profiles varied. In addition, the effects of the coil rf power, dc-bias voltage and gas pressure on the etch rate and etch profile were investigated. The etch rate increased with increasing coil rf power, dc-bias voltage and gas pressure. The degree of anisotropy in the etched titanium films improved with increasing coil rf power and dc-bias voltage and decreasing gas pressure. X-ray photoelectron spectroscopy revealed the formation of titanium compounds during etching, indicating that Ti films etching proceeds by a reactive ion etching mechanism.  相似文献   

13.
We have investigated the selective etching of 50 μm diameter via-holes for etch depth >200 μm using 30 μm thick photo resist mask in Inductively Coupled Plasma system with Cl2/BCl3 chemistry. Resultant etch rate/etch profiles are studied as a function of ICP process parameters and photo resist mask sidewall profile. Etch yield and aspect ratio variation with process pressure and substrate bias is also investigated at constant ICP power. The etch yield of ICP process increased with pressure due to reactant limited etch mechanism and reached a maximum of ∼19 for 200 μm depth at 50 mTorr pressure, 950 W coil power, 80 W substrate bias with an etch rate ∼4.9 μm/min. Final aspect ratio of etched holes is increased with pressure from 1.02 at 20 mTorr to 1.38 at 40 mTorr respectively for fixed etch time and then decreased to 1.24 at 50 mTorr pressure. The resultant final etch profile and undercut is found to have a strong dependence on the initial slope of photo resist mask sidewall angle and its selectivity in the pressure range of 20-50mTorr.  相似文献   

14.
In this work, we investigated the etching characteristics of TiO2 thin films and the selectivity of TiO2 to SiO2 in a BCl3/Ar inductively coupled plasma (ICP) system. The maximum etch rate of 84.68 nm/min was obtained for TiO2 thin films at a gas mixture ratio of BCl3/Ar (25:75%). In addition, etch rates were measured as a function of etching parameters, such as the RF power, DC-bias voltage and process pressure. Using the X-ray photoelectron spectroscopy analysis the accumulation of chemical reaction on the etched surface was investigated. Based on these data, the ion-assisted physical sputtering was proposed as the main etch mechanism for the BCl3-containing plasmas.  相似文献   

15.
The effect of CdCl2, CuCl2·2H2O, MnCl2·4H2O and FeCl3·6H2O impurities and undersaturation on the rates of macroscopic dissolution,v p, lateral etching away from a dislocation line,v t, and normal etching along the dislocation line,v n, and on the surface micromorphology of the {100} face of NaCl single crystals in water, methanol and 96% ethanol is investigated. The dependence of etch rates on impurity concentration,c i, showed that the addition of a salt to the solvent always leads to a decrease inv p, which attains a minimum value after a particular value ofc i. The concentration dependence ofv t andv n is relatively complex, but often both decrease or increase simultaneously. A change in etch-pit morphology is caused by increasing the concentrations of all additives in ethanol and methanol. The dependence of etch rates on the undersaturation of methanol and methanol containing 10–3 M CdCl2 showed that dislocation etch pits are formed only for undersaturations greater than 0.02 and 0.06, respectively. These results as well as the roughening of etched surfaces at low impurity concentrations, the formation of terraced etch pits and the difference between etch pits at aged and fresh dislocations are discussed.  相似文献   

16.
We have studied the reactive ion etching of Ru electrode using O2/Cl2 plasma. We have revealed that the Ru etch rate and thus the Ru to SiO2 etch selectivity increase by increasing pressure, total gas flow rate, temperature and decreasing the HRF power and LRF power. The vertical Ru etching profile is attained.  相似文献   

17.
Thin films of HfAlO3, a high-k material, were etched using inductively-coupled plasma. The dry etching mechanism of the HfAlO3 thin film was studied by varying the Cl2/Ar gas mixing ratio, RF power, direct current bias voltage, and process pressure. The maximum etch rate of the HfAlO3 thin film was 16.9 nm/min at a C12/(C12 + Ar) ratio of 80%. Our results showed that the highest etch rate of the HfAlO3 thin films was achieved by reactive ion etching using Cl radicals, due to the high volatility of the metal-chlorides. Consequently, the increased chemical effect caused an increase in the etch rate of the HfAlO3 thin film. Surface analysis by x-ray photoelectron spectroscopy showed evidence that Hf, Al and O reacted with Cl and formed nonvolatile metal-oxide compounds and volatile metal-chlorides. This effect may be related to the concurrence of chemical and physical pathways in the ion-assisted chemical reaction.  相似文献   

18.
The molecular beam epitaxy of AlGaN/GaN epilayers on silicon (1 1 1) using an aluminum nitride buffer layer, and subsequent fabrication of free standing III-nitride cantilevers on Si(1 1 1) has been investigated. Transmission electron microscopy (TEM) of cross-section samples reveals a columnar structure consisting of the hexagonal gallium nitride polytype. Selected area diffraction indicates an epitaxial relationship between the gallium nitride and silicon substrate which is described by GaN[0 0 0 1]//Si[1 1 1] and GaN(1 1 0 0)//Si(1 1 1). Imaging of the electronic structure of an AlGaN/GaN interface has been investigated by mapping the variation in the plasmon frequency using an electron energy loss spectrometer on a dedicated scanning transmission electron microscope. Cantilevers were fabricated using a combination of etching processes. Nitride etch rates during inductively coupled plasma dry etch processing using a Cl2/Ar plasma etchant were obtained by monitoring the optical reflectivity of the nitride films in situ. A peak GaN etch rate of 250 nm/min was measured, the etch rate was found to be strongly dependent on the d.c. self-bias. Thin beams of GaN having a length of 7 μm and 0.7 μm thickness, were fabricated and mechanically released from Si(1 1 1) substrates using a combination of two dry ICP etch processes, using Cl2/Ar and CF4/Ar/O2 chemistries, and a potassium hydroxide (KOH) aqueous wet etch.  相似文献   

19.
We report a comparison of dry etching of polymethyl methacrylate (PMMA) and polycarbonate (PC) in O2 capacitively coupled plasma (CCP) and inductively coupled plasma (ICP). A diffusion pump was used as high vacuum pump in both cases. Experimental variables were process pressure (30-180 mTorr), CCP power (25-150 W) and ICP power (0-350 W). Gas flow rate was fixed at 5 sccm. An optimized process pressure range of 40-60 mTorr was found for the maximum etch rate of PMMA and PC in both CCP and ICP etch modes. ICP etching produced the highest etch rate of 0.9 μm/min for PMMA at 40 mTorr, 100 W CCP and 300 W ICP power, while 100 W CCP only plasma produced 0.46 μm/min for PMMA at the same condition. For polycarbonate, the highest etch rates were 0.45 and 0.27 μm/min, respectively. RMS surface roughnesses of PMMA and PC were about 2-3 nm after etching. Etch selectivity of PMMA over photoresist was 1-2 and that of PC was less than 1. When ICP power increased from 0 to 350 W, etch rates of PMMA and PC increased linearly from 0.47 to 1.18 μm/min and from 0.18 to 0.6 μm/min, while the negative self bias slightly reduced from 364 to 352 V. Increase of CCP power raised both self bias and PMMA etch rate. PMMA etch rates were about 3 times higher than those of PC at the same CCP conditions. SEM data showed that there was some undercutting of PMMA and PC after etching at 300 W ICP, 100 W CCP and 40 mTorr. The results also showed that the etched surface of PMMA was rough and that of PC was relatively smooth.  相似文献   

20.
F. Gou  L.T. Zen 《Thin solid films》2008,516(8):1832-1837
Molecular dynamics simulations were performed to investigate CF3 continuously bombarding SiC surfaces with energies of 100, 150 and 200 eV at normal incidence and room temperature. The simulated results show that the etching rates of Si and C atoms increase linearly with the incident energy. The etch rate of Si atoms is much more than that of C atoms. A carbon-rich surface layer is observed which is in good agreement with experiments. Under bombarding by CF3, an F-containing reaction layer is formed through which Si and C atoms are removed. In reaction layer, SiF and CF species are dominant. The formation mechanisms of ejected products are discussed. In etching products, SiF3 is dominant. It is found that etching of C atoms in SiC is controlled by physical sputtering, while etching of Si atoms in SiC is controlled by chemical sputtering.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号