首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 0 毫秒
1.
The effect of deposition methods on dielectric breakdown strength of PECVD low-k dielectric carbon doped silicon dioxide films is investigated. I-V measurements were performed using metal-insulator semiconductor structures for carbon doped silicon dioxide thin films with various thicknesses by single deposition station and six sequential deposition systems. I-t measurements are also performed for films with the thickness of 32 nm prepared using both deposition methods. Comparison studies have been carried out for the thickness dependence, temperature dependence, conduction mechanism and time dependence of dielectric breakdown for carbon doped silicon dioxide with single layer and six sub-layers. Results demonstrated that both films follow the newly obtained relationship between dielectric strength EB and thickness d, i.e. EB∝(ddc)n, but with a lower exponential factor n and a larger thickness limit dc for films with six sub-layers. It is also demonstrated that films with six sub-layers have a higher dielectric strength in all the thickness and temperature ranges, a thickness independent thermal behavior and a longer lifetime under constant voltage stressing. This indicates that by tuning the deposition methods smaller thickness with desired dielectric properties can be achieved.  相似文献   

2.
Low-k dielectric carbon-doped silicon dioxide films created by Plasma Enhanced Chemical Vapor Deposition (PECVD) using a six-station sequential deposition system exhibit different glass transition behavior from films created by PECVD in a single deposition station. The enhanced glass transition temperature (Tg) for the PECVD thin films of a layer consisting of six sub-layer deposited in a six-station sequential deposition system to the Tg for films of a single layer deposited in a single deposition system is traced back to the introduced film interface effect inherent to the different deposition methods. Both types of PECVD thin films range in thickness from 50 to 1255 nm and show an increasing Tg with decreasing film thickness. The observed glass transition behavior for films with six sub-layers can be well explained by a theoretical model of thickness dependent Tg for multiple sub-layers obtained by modifying the currently existing theoretical model for the single layer thickness dependent Tg behavior, which explains the observed thickness dependent Tg for single layer PECVD thin films.  相似文献   

3.
The properties of low-k SiCOH film deposited by plasma-enhanced chemical vapor deposition using trimethylsilane are reported here. The deposition process was performed at different temperatures from 200 to 400 °C. The influence of deposition temperature on the films were characterized using Fourier transform infrared spectroscopy (FTIR) to understand its impact on the studied properties. The films were annealed at ∼450 °C in an inert ambient after deposition in all the cases. The deposition rate decreases with increase in deposition temperature. The refractive index of the films increases as a function of deposition temperature. From FTIR spectra, OH-related bonds were not detected in films even when deposited at 200 °C. The Si-CH3 bonds were detected in all the films and decreased monotonically from 200 to 400 °C. All deposition conditions studied resulted in films with dielectric constant less than 3, the lowest being ∼2.7 when deposited at 200 °C. All films exhibited good thermal stability.  相似文献   

4.
Low-k dielectric carbon doped silicon dioxide films 105-1255 nm in thickness, prepared by plasma-enhanced chemical vapor deposition (PECVD) in a six-station sequential deposition system and in a single deposition station, have been investigated for their optical properties using an optical spectrometer coupled with a hot stage. A decrease in refractive index, n, for films with six sub-layers compared with films with a single layer of similar thickness has been observed. This decreased refractive index is thought to be caused by the different effect of crystallinity of the substrate, as a film interface effect is introduced due to the different deposition methods. Both types of PECVD thin films show an increasing refractive index with increasing thickness, which could be attributed to the increased effective density with the increased thickness indicated from Fourier transform infrared spectroscopy microstructure analysis. Cauchy dispersion function is found to be valid for films within all the thickness range and with different deposition methods from visible spectrum to IR spectrum. The refractive index is found to decrease as the temperature increases from 25 to 450 °C at a fixed wavelength for all the films.  相似文献   

5.
The use of low-k materials is essential for improving the quality of integrated circuits. Subsequent process steps may however modify this film to the extent that the final result is unacceptable. Organosilicate-based low-k films, with a nominal k-value of 2.3, were exposed to different post-CMP cleaning plasmas used for copper reduction. The resulting plasma damage was investigated and is reported in this paper. All the studied plasmas increased the density of the low-k film. TOFSIMS and FTIR analyses showed that they all removed CH3 groups from the bulk, leading to water incorporation. The carbon depletion was more pronounced and deeper (100 nm) from a NH3 plasma than from any other investigated plasma. N2 + H2 plasma removed somewhat less carbon from the low-k film (83 nm deep). The N2 plasma removed carbon down to a depth of 60 nm into the film, while a pure H2 plasma removed the least carbon of all the investigated plasmas, to a depth of only 35 nm. The combination of TOFSIMS and XPS indicated the incorporation of a significant amount of N in the films treated with the pure N2 plasma. C-V measurements showed an increase of the dielectric constant, again mostly for the NH3 plasmas. There was an intermediate and approximately equal increase of the dielectric constant for all N2 containing plasmas, and the least increase was for the H2 plasma. This increase of the dielectric constant was caused by the increase of density of the film, incorporation of water, and in the case of the N2 plasma also the incorporation of N. This shows that the presence of N2 in plasma may significantly damage low-k materials, and it should not therefore be treated as a mere carrier gas.  相似文献   

6.
We review test vehicles and methods that are commonly used for capacitance measurements of low-k films and the general procedure for k-value extractions. We demonstrate that a considerable loss of accuracy may occur if metal-insulator-semiconductor (MIS) planar capacitors are used in high frequency (HF) capacitance-voltage (CV) measurements leading to significant underestimation of the k-value. We show that the lack of accuracy is due to parasitic impedance at the backside connection with the Si substrate and we provide a model. The effect of the parasitic impedance can be minimized by reducing the area of the gate electrode. Alternatively, samples can be provided with an ohmic back contact by means of one of the practical fabrication methods that are described. Quasi-static (Q-S) CV measurements did not exhibit any variation related to backside connection. However, we show that Q-S CV measurements loose accuracy for plasma-damaged low-k films because of increased dielectric leakage. Finally, issues related to capacitance measurements in dry atmosphere are addressed. We show that long (∼hours) transients can take place for plasma-damaged low-k films because of the slow release of water from the material underneath the metal gate, which acts as a cap. As a consequence, extracted k-value can significantly depend on sample resident time in the measurement chamber and on gate dimensions.  相似文献   

7.
We demonstrate a replica-molding method for submicron patterning of a low-index sol-gel nanoporous glass for the purpose of fabricating large-area (∼80 cm2) label-free photonic crystal optical biosensors. Scanning electron micrographs show the sol-gel exhibited minimal shrinkage and good substrate adhesion and depict precise and uniform pattern transfer over the fabricated area within the limits of measurement resolution. A unique characterization approach is described in which the photonic crystal optical resonance is used to accurately and quickly characterize the geometrical and material property uniformity over a large area. Uniformity within 1% was measured over an 80 cm2 area. We suggest that this robust method is an excellent approach for photonic crystal sensor fabrication, and may also find applications in integrated optics and electronics.  相似文献   

8.
HSG-7000 by Hitachi Chemicals Ltd., is a spin-on low-k dielectric offering a dielectric constant of approximately 2.2. It is a silsesquioxane based low-k dielectric with an empirical formula of [CH3-SiO3/2]n. The standard thermal curing for HSG 7000 is at least 30 min at 400 °C with N2 ambient. This paper aims to demonstrate that curing using a low-cost hot plate in atmospheric ambient is possible. The chemical bonding structure will be studied using Raman spectroscopy. The ratios of the areas of the Si-O-Si /Si-CH3 of the Raman bands were used to determine the structure of the different hot plate curing temperatures and time. Results showed that hot plate curing at 425° for 15 min will yield a ratio closest to those cured with the standard furnace process which is predominantly ladder structure. The results also show that the dielectric constant remains essentially constant with different hot plate curing temperatures and time.  相似文献   

9.
Thickness dependent dielectric soft-breakdown and corresponding activation energy in low dielectric constant (low-k) thin films with thickness ranging from 48 to 1141 nm are investigated to evaluate the reliability of polymer integration on device wafers for the first time. It is found that the strength against soft-breakdown decreases and the leakage current increases with the decrease in low-k film thickness. In the regions both before and after soft-breakdown, the conduction activation energy increases with the increase in low-k film thickness. The conduction activation energy before soft-breakdown is smaller than that after soft-breakdown.  相似文献   

10.
Electrical characterizations have been performed on porous low-k SiOCH dielectric used in the 45 nm technology. The present paper demonstrates that the conduction follows the Poole-Frenkel (PF) mechanism at medium and high fields. The apparent deviation from the PF mechanism at high field is explained by the trapping phenomenon due to the large amount of defects in the dielectric. This trapping deforms the band diagram and lowers the electron injection within the dielectric. A study of two key process steps is also performed and shows that the dominant conduction mechanism localization (bulk versus interface) is not necessarily at the origin of the breakdown mechanism.  相似文献   

11.
In this study, we have prepared surfactant templated mesoporous silica thin films as the ultralow-k dielectrics and a TaNX thin film deposited by plasma enhanced atomic layer chemical vapor deposition (PE-ALCVD) using TaCl5 as the gas precursor was used as the diffusion barrier. Without any surface modification for the dielectric layer, Ta atoms could easily diffuse into the mesoporous layer seriously degrading dielectric properties. O2 and Ar plasmas have been used to modify the surface of the mesoporous dielectric in a high density plasma chemical vapor deposition (HDP-CVD) system, and both of the treatments produced a densified oxide layer a few nanometer thick. According to transmission electron microscopy and Auger electron spectroscopy, the pore sealing treatment could effectively prevent Ta atoms from diffusing into the mesoporous dielectric during the PE-ALCVD process.  相似文献   

12.
Silicon oxides deposited by remote plasma-enhanced chemical-vapor deposition (Remote PECVD) can be grown under conditions which produce hydrogen-free SiO2, and under conditions which promote the incorporation of bonded-hydrogen in either SiH or SiOH groups, but generally not in both. In this paper, we investigate the relationship between the deposition conditions leading to OH incorporation, and other post-deposition pathway(s) by which OH can also be incorporated. Two ways by which OH can be incorporated into the oxides are by: (i)intrinsic pathways which are associated with the heterogeneous chemical reactions responsible for film growth; and (ii)extrinsic pathways which refer to incorporation after film deposition stops. The results of our experiments to date show no evidence to support the intrinsic process; all of the infrared (ir) detectable OH is shown to derive from post-deposition or extrinsic sources. We have found two distinct post-deposition sources, one from the deposition chamber ambient during cool-down and one from atmospheric moisture. Each of these sources has a particular spectroscopic signature. We show that OH incorporated from atmospheric moisture occurs as spatially correlated near-neighbor Si-OH groups, whereas OH groups incorporated in the deposition chamber ambient are randomly distributed in the SiO2 host material.  相似文献   

13.
The dielectric properties of plasma-enhanced chemical vapor deposition (PECVD) SiO2 deposited at 150°C were improved by reaction with anhydrous hydrazine vapor at 150–350°C. The permittivity and loss decreased ~32% and ~86%, respectively, after reaction with hydrazine at 150°C. The decrease in permittivity and loss correlated with a decrease in the dipole concentration (silanol + water). During exposure to humid conditions, water uptake in the SiO2 films degraded the dielectric properties. A nitrogen anneal at 350°C did not improve the dielectric properties of the PECVD SiO2. Although water was removed from the films, silanol remained. When the PECVD SiO2 deposited at 150° was reacted with hydrazine vapor at 150°C, both silanol and water were removed from the films. The dielectric properties and resistance to water absorption improved.  相似文献   

14.
We have investigated the characteristics of Ar/O2 plasmas in terms of the photoresist (PR) and low-k material etching using a ferrite-core inductively coupled plasma (ICP) etcher. We found that the O2/(O2+ Ar) gas flow ratio significantly affected the PR etching rate and the PR to low-k material etch selectivity. Fourier transform infrared spectroscopy (FTIR) and HF dipping test indicated that the etching damage to the low-k material decreased with decreasing O2/(O2 + Ar) gas flow ratio.  相似文献   

15.
Interfacial crack/delamination, due to the presence of dissimilar material systems, is one of the major concerns of thermo-mechanical reliability for the development of next node technology in integrated circuits (IC) devices. The cracking energy results from many back end of line (BEOL) and packaging processes at various temperature differences is prone to drive the crack advance. To investigate the sensitivity of crack propagation in low-k dielectric materials, a robust estimation of J-integral approach combined with a rectangular path of integral contour is performed using finite element analysis (FEA). By means of the verification of 4-point bending test (4-PBT), excellent agreements are obtained as compared with the experimental data. Moreover, a multiscale modeling technique is proposed to resolve the difficulty of model construction as from bridge device level to packaging level. The sub-modeling procedures developed specifically for the impact prediction of interfacial crack in complicated Cu/low-k interconnects. The analytic results indicate the foregoing methodology is valuable to forecast the physical behavior and reliability of advanced IC devices in the nano scaled size. On the basis of the presented results in this research, an approximated criterion for determining the dimensions of sub-model is suggested and demonstrated as well.  相似文献   

16.
We report material and electrical properties of tungsten silicide metal gate deposited on 12 in. wafers by chemical vapor deposition (CVD) using a fluorine free organo-metallic (MO) precursor. We show that this MOCVD WSix thin film deposited on a high-k dielectric (HfSiO:N) shows a N+ like behavior (i.e. metal workfunction progressing toward silicon conduction band). We obtained a high-k/WSix/polysilicon “gate first” stack (i.e. high thermal budget) providing stable equivalent oxide thickness (EOT) of ∼1.2 nm, and a reduction of two decades in leakage current as compared to SiO2/polysilicon standard stack. Additionally, we obtained a metal gate with an equivalent workfunction (EWF) value of ∼4.4 eV which matches with the +0.2 eV above Si midgap criterion for NMOS in ultra-thin body devices.  相似文献   

17.
This work investigates the etching characteristics of SiCOH low dielectric constant (low-k) films in the CHF3 13.56 MHz/2 MHz dual-frequency capacitively couple plasma (CCP). The effect of low-frequency (LF) power on etching behavior is analyzed. The results show that the increase of LF power can leads to a transition of etching behavior from films deposition to etching. By Fourier transform infrared (FTIR) spectroscopy and X-ray photoelectron spectroscopy (XPS) analysis on the etched SiCOH films and optical emission spectroscopy (OES) analysis on the plasma radicals, the transition behavior is found to relate to the suppression of C:F deposition due to the energetic ions sputtering and the increase of F concentration at higher LF power.  相似文献   

18.
Looking onto application of low-k and ultra low-k materials within FEOL, high temperature load is one of the major challenges. But also temperature ranges below standard curing conditions are of special interest, e.g. for integration of transparent low-k materials into optical devices due to their small refractive index. In this work the development of the optical, electrical and structural properties of two spin-on MSQ low-k dielectrics over a low-temperature range has been investigated. Incorporation of porosity due to porogen removal for LK2000 causes a different behaviour of the electrical and optical parameters compared to ACCUGLASS™ within the low-temperature range. Both materials show unstable properties which normalize by getting closer to the standard curing conditions. Hydrophobizity of the surfaces is developing at curing temperatures of 400 °C and higher, what agrees to the lowering of the leakage current density. Optical, electrical and structural parameters fluctuate very sensitive on changing the curing temperature, so usage of those materials within a low-temperature range requires a very stable curing process to achieve reproducible material properties.  相似文献   

19.
The introduction of SiOCH low-k dielectrics in the copper interconnections of sub-45 nm node technologies is a challenge in terms of both material and process criteria. For instance, the deposition of a diffusion barrier between copper and dielectric is strongly dependent on the nature of the dielectric surface. In this study, we investigate the first steps of ALD TaN growth with respect to dielectric surface chemistry, using XPS measurements. Three different dielectrics have been tested: SiOCH, SiO2 or SiOCH capped by a thin SiO2 layer. We show that TaN can only grow over a monolayer-thick Ta2O5 formed at the early stages of deposition. A mechanism for the growth first steps is described, explaining the incubation delays observed for the appearing of Ta-O and Ta-N bonds. In addition, we show that a 3 nm-thick SiO2 layer is able to hide the effect of SiOCH chemistry and obtain similar growth rates on SiOCH and SiO2.  相似文献   

20.
The International Technology Roadmap for Semiconductors (ITRS) predicts that by 2010 over one billion transistors will be integrated into one chip [Semiconductor Industry Associations, International Technology Roadmap for Semiconductors, 2004. Available from: <http://public.itrs.net/Files/2004UpdateFinal/2004Update.htm>]. The interconnect system of this one billion transistor chip will provide the required high-speed signal and power to transmit each transistor on the chip. This system will deliver high frequency signals to various circuits, and the parasitic effects associated with interconnect will become evident and cannot be ignored. Small parasitic capacitance (C) between interconnect are required to reduce the crosstalk, power consumption, and RC delay associated with the metal interconnect system. Therefore, interconnect with low dielectric constant (k) materials is required.In this study, hydrogen silsesquioxane (HSQ) thin films prepared under various conditions are employed as the intermetal dielectric and the high frequency characteristics of Al-HSQ system are investigated and compared with those of Al-SiO2 system. The S-parameters of the Al interconnect are measured for insertion loss and crosstalk noise. The interconnect transmission parameters are extracted from the S-parameters. A figure of merit (FOM) is employed to evaluate the characteristics of the Al-HSQ system at high frequencies (100 MHz-20 GHz). It is found that Al interconnect with HSQ films annealed at 400 °C has an insertion loss of 1.64 dB/mm, a coupling of −13.3 2 dB at 20 GHz, and a propagation delay of 0.121 ps/μm, while those of the PECVD SiO2 films are 2.01 dB/mm (insertion loss), −13.40 dB (coupling), and 0.149 ps/μm (propagation delay). The Al-400 °C-annealed-HSQ system has better performance than the Al-SiO2 system does from 100 MHz to 20 GHz. However, specimens with 350 °C-annealed HSQ films or plasma-treated HSQ films exhibit larger insertion losses and higher crosstalk noises than those with PECVD SiO2 films do. Both annealing temperature and O2 plasma treatment of the HSQ films affect the high frequency characteristics of the Al-HSQ system.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号