首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 32 毫秒
1.
To develop x-ray mirrors for micropore optics, smooth silicon (111) sidewalls obtained after anisotropic wet etching of a silicon (110) wafer were studied. A sample device with 19 microm wide (111) sidewalls was fabricated using a 220 microm thick silicon (110) wafer and potassium hydroxide solution. For what we believe to be the first time, x-ray reflection on the (111) sidewalls was detected in the angular response measurement. Compared to ray-tracing simulations, the surface roughness of the sidewalls was estimated to be 3-5 nm, which is consistent with the atomic force microscope and the surface profiler measurements.  相似文献   

2.
We have designed, fabricated, and characterized a low-stressed silicon nitride (SiN) membrane nanosieve (100 microm x 100 microm) using an anisotropic reactive ion etching (ARIE) combining with gas mixture, thus maintaining compatibility with the complementary metal-oxide semiconductor integrated circuit (CMOS IC) processes. The holes pattern of this nanosieve membrane was precisely controlled under 30 nm diameter by the electron beam writing. By employing mainly anisotropic reactive ion etching plus diffusion to the depth direction, the etch holes size was controlled to be the same with patterns on the e-beam resist (ER). This nanosieve membrane has proper mechanical strength withstanding up to one bar of transmembrane pressure. And it can endure harsh treatments such as high temperature up to 800 degrees C. In addition, it is inert to a number of strong chemicals including the piranha (H2SO4 + H2O2) solution, highly-concentrated potassium hydroxide (KOH), hydrogen fluoride (HF), hydrogen chloride (HCI), and nitric acid (HNO3).  相似文献   

3.
利用全息曝光方法制备了分布反馈量子级联激光器的光栅掩模,选择和发展了恰当的用于InGaAs/InP材料的光栅腐蚀优化工艺,得到腐蚀规律,讨论了腐蚀机制。在量子级联激光器的InGaAs/InP层上制备光栅得到分布反馈量子级联激光器,其单模特性较好,信噪比大于30dB。  相似文献   

4.
Periodic high aspect ratio GaAs nanopillars with widths in the range of 500-1000 nm are produced by metal-assisted chemical etching (MacEtch) using n-type (100) GaAs substrates and Au catalyst films patterned with soft lithography. Depending on the etchant concentration and etching temperature, GaAs nanowires with either vertical or undulating sidewalls are formed with an etch rate of 1-2 μm/min. The realization of high aspect ratio III-V nanostructure arrays by wet etching can potentially transform the fabrication of a variety of optoelectronic device structures including distributed Bragg reflector (DBR) and distributed feedback (DFB) semiconductor lasers, where the surface grating is currently fabricated by dry etching.  相似文献   

5.
In this paper we present a study on the application of nanoporous silicon to an optoelectronic device called a nanoporous silicon metal-semiconductor-metal (MSM) visible light photodetector. This device was fabricated on a nanoporous silicon layer which was formed by electrochemical etching of a silicon wafer in a hydrofluoric acid solution under various anodization conditions such as the resistivity of the silicon wafer, current density, concentration of the hydrofluoric acid solution and anodization time. The structure of this device has two square Al/nanoporous silicon Schottky-barrier junctions on the silicon substrate and the electrode spacing is 500 microm. The experiment will study photoresponse and the response time of a nanoporous silicon MSM photodetector which was fabricated on the various porosity of a nanoporous silicon layer. It is found that when devices are fabricated on a higher porosity nanoporous silicon layer, the photoresponse of the device will expand toward the short-wavelength and the bandwidth of the spectrum response will cover visible light. In addition, it is found that the response time of the device decreases.  相似文献   

6.
Small angle X-ray scattering (SAXS) was used to characterize the cross section of nanoline gratings fabricated with electron beam lithography (EBL) patterning followed by anisotropic wet etching into a single crystal silicon substrate. SAXS results at normal incidence clearly bear the signature of positional dependent linewidth within the gratings; such non-uniformity is subsequently confirmed with scanning electron microscopy. The proximity effect of EBL is believed to be the cause of the spatial variations of linewidth. To quantitatively fit the SAXS results the linewidth near the periphery of the patterned field needs to be 80% greater than that in the central region, whereas the cross section of nanolines can be modeled as a simple rectangular shape, as expected from the anisotropic wet etching process.  相似文献   

7.
Fang H  Li X  Song S  Xu Y  Zhu J 《Nanotechnology》2008,19(25):255703
Large-area slantingly-aligned silicon nanowire arrays (SA-SiNW arrays) on Si(111) substrate have been fabricated by wet chemical etching with dry metal deposition method and employed in the fabrication of solar cells for the first time. The formation of SA-SiNW arrays possibly results from the anisotropic etching of silicon by silver catalysts. Superior to the previous cells fabricated with vertically-aligned silicon nanowire arrays (VA-SiNW arrays), the SA-SiNW array solar cells exhibit a highest power conversion efficiency of?11.37%. The improved device performance is attributed to the integration of the excellent anti-reflection property of the arrays and the better electrical contact of the cell as a result of the special slantingly-aligned structure. The high surface recombination velocity of minority carriers in SiNW arrays is still the main limitation on cell performance.  相似文献   

8.
Plasmonic nanostructures separated by nanogaps enable strong electromagnetic‐field confinement on the nanoscale for enhancing light‐matter interactions, which are in great demand in many applications such as surface‐enhanced Raman scattering (SERS). A simple M‐shaped nanograting with narrow V‐shaped grooves is proposed. Both theoretical and experimental studies reveal that the electromagnetic field on the surface of the M grating can be pronouncedly enhanced over that of a grating without such grooves, due to field localization in the nanogaps formed by the narrow V grooves. A technique based on room‐temperature nanoimprinting lithography and anisotropic reactive‐ion etching is developed to fabricate this device, which is cost‐effective, reliable, and suitable for fabricating large‐area nanostructures. As a demonstration of the potential application of this device, the M grating is used as a SERS substrate for probing Rhodamine 6G molecules. Experimentally, an average SERS enhancement factor as high as 5×108 has been achieved, which verifies the greatly enhanced light–matter interaction on the surface of the M grating over that of traditional SERS surfaces.  相似文献   

9.
A new fabrication process of silicon template for nanoimprint lithography is developed. A very fine and high aspect Si lines are fabricated by the combination of plasma process for Si deep etching and anisotropic wet etching by potassium hydroxide solution (KOH treatment). Improved switching process, which consists of sequentially alternating etching and deposition steps, is used as the Si deep etching. The side wall profile of the Si lines can be controlled by the deposition step time. Line pattern with vertical side wall is fabricated. The line width and height are 0.2 µm and 2.9 µm, respectively. By the KOH treatment the side wall corrugation can be reduced and the line width also decreases. Very fine line of 45 nm width at the line top with the pattern height of 3.0 µm can be fabricated by use of the line width shrink by the KOH treatment.  相似文献   

10.
利用常规硅工艺的反应离子刻蚀、各向异性化学腐蚀、热氧化和超低压CVD生长技术,成功地硅单晶衬底上制作了硅/二氧化硅异质界面结构超精细硅量子线。本项研究结果对开展低维量子结构物理及硅量子器件的研究具有十分重要的意义。  相似文献   

11.
The wet etching of GaN, AlN, and SiC is reviewed including conventional etching in aqueous solutions, electrochemical etching in electrolytes and defect-selective chemical etching in molten salts. The mechanism of each etching process is discussed. Etching parameters leading to highly anisotropic etching, dopant-type/bandgap selective etching, defect-selective etching, as well as isotropic etching are discussed. The etch pit shapes and their origins are discussed. The applications of wet etching techniques to characterize crystal polarity and defect density/distribution are reviewed. Additional applications of wet etching for device fabrication, such as producing crystallographic etch profiles, are also reviewed.  相似文献   

12.
Two approaches for sub-100 nm patterning are applied to Si/SiGe samples.The first one combines electron beam lithography (EBL) and anisotropic wet etching to fabricate wires with triangular section whose top width is narrower than the beam size. Widths as small as 20 nm on silicon and 60 nm on Si/SiGe heterostructures are obtained.The second lithographic approach is based on the local anodization of an aluminum film induced by an atomic force scanning probe. Using atomic force microscopy (AFM) anodization and selective wet etching, aluminum and aluminum oxide nanostructures are obtained and used as masks for reactive ion etching (RIE). Sub-100 nm wide wires are fabricated on Si/SiGe substrates.  相似文献   

13.
This paper describes the fabrication of a micromachined miniaturized array of chambers in a 2-mm-thick single crystal (100) silicon substrate for the combinatorial screening of the conditions required for protein crystallization screening (including both temperature and the concentration of crystallization agent). The device was fabricated using standard photolithography techniques, reactive ion etching (RIE) and anisotropic silicon wet etching to produce an array of 10 x 10 microchambers, with each element having a volume of 5 microL. A custom-built temperature controller was used to drive two peltier elements in order to maintain a temperature gradient (between 12 and 40 degrees C) across the device. The performance of the microsystem was illustrated by studying the crystallization of a model protein, hen egg white lysozyme. The crystals obtained were studied using X-ray diffraction at room temperature and exhibited 1.78 A resolution. The problems of delivering a robust crystallization protocol, including issues of device fabrication, delivery of a reproducible temperature gradient, and overcoming evaporation are described.  相似文献   

14.
Thermal emissive properties of microstructured surfaces are measured in the near-infrared region. Two-dimensional periodic microstructured surfaces with metal coatings are fabricated with Si anisotropic etching and laser ablation techniques. The structural periods of the samples are 2.0 and 1.5 microm. Clear selective-emission bands are observed experimentally. This selective emission is attributed to the resonance effect between the emissive field and the surface microstructures. In addition, numerical calculation computed with rigorous coupled-wave analysis (RCWA) is performed on the microstructured samples. The selective-emission peaks measured through experiments can be reproduced well by RCWA, and this result suggests strongly that the thermal radiation from periodic structures may have spatial coherence. It is confirmed that the surface microstructure can be applied to the control of spectral emission from high-temperature materials.  相似文献   

15.
Guo J  Brady D 《Applied optics》2000,39(10):1486-1492
We describe a microfabrication process for fabricating micropolarizer devices with polarization thin film. The polarization film is less than a 0.5 mum thick and can have a polarization extinction ratio of ~330 in the visible wavelength range. A single-state micropolarizer array with polarizing pixels as small as 5 mum x 5 mum has been fabricated. A multilayer spatially multiplexed three-state micropolarizer line array with a 14.4-mum resolution has also been fabricated for visible imaging polarimetry application.  相似文献   

16.
Highly polarization-selective diffractive optical elements for use in optical interconnection and routing systems have been fabricated by the wet etching of pairs of calcite substrates and characterized experimentally. We show that when an index-matching polymer is used to fill the gap between the two substrates, substrate alignment problems are eliminated and efficiency is greatly increased. This has resulted in first-order diffraction efficiencies of 40.5% and polarization contrast ratios of 450:1 for several off-axis binary-phase elements, allowing these components to be used for practical applications.  相似文献   

17.
Morita N  Kawasegi N  Ooi K 《Nanotechnology》2008,19(15):155302
We propose a method for fabricating three-dimensional structures on GaAs surfaces using electron beam (EB) irradiation followed by wet chemical etching. An etch-resistant hydrocarbon layer forms on the GaAs surface with the EB irradiation. Structures can be fabricated after etching using the hydrocarbon layer to block the etching. The height dependence on the irradiation and etching conditions was investigated as a means of controlling the height of the structures. A higher structure was fabricated at higher doses. The etching selectivity changed with the concentration of the etchant. A three-dimensional structure was fabricated based on these results, demonstrating the possible use of this method as a novel three-dimensional fabrication method for GaAs surfaces.  相似文献   

18.
In this study, we report a new method to fabricate a wire grid polarizer (WGP) that greatly relaxes the requirement on patterning and etching, and can be easily applied to produce flexible WGPs. The technique is to pattern a high aspect ratio and narrow linewidth grating by nanoimprint lithography followed by two angled aluminum depositions in opposite directions to produce the narrow spacing between the aluminum lines required for a visible band WGP. Anisotropic reactive ion etching is used to remove the aluminum deposited at the top of the grating but leave the aluminum layer on the grating sidewalls, thereby forming a metal wire grid with much smaller spacings than a lithographically defined grating. As a result, the fabricated WGP showed good performance in a wide range of visible wavelength.  相似文献   

19.
An x-ray multilayer monochromator with improved resolution and a low specular background is presented. The monochromator consists of a lamellar multilayer amplitude grating with appropriate parameters used at the zeroth diffraction order. The device is fabricated by means of combining deposition of thin films on a nanometer scale, UV lithography, and reactive ion etching. The performance of this new monochromator at photon energies near 1500 eV is shown.  相似文献   

20.
The effects of conductor delineation technique on magnetic bubble propagation across the conductor edge are described. Propagation margins are obtained for bubble circulation around 18-μm diameter Permalloy discs which cross four edges of an Al-Cu feature. Specifically investigated are isotropic wet etching, anisottopic wet etching to achieve a uniform taper, ion beam milling, and metal lift-off to provide a planar structure. Margins are obtained at ± 40°C, with the most significant degradation observed at the lower temperature. Permalloy magnetic continuity in the crossings can be inferred from hysteresis loop measurements of a Permalloy sheet deposited over a grating pattern formed by the above processing techniques. Although the least anisotropic loops are invariably obtained with smoothly tapered Al-Cu edges under the Permalloy, propagation margins are not maximized with such structures, but rather favor a planar crossing. The results suggest that although patterned stress is still an important concern in functional operation, other geometric effects can be more significant. In particular, poor magnetic step coverage as inferred from loop measurements leads to spurious pole formation from the drive field, while even with adequate step coverage, static bias-field distortions can result because of the component of the field along the step.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号