首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到17条相似文献,搜索用时 515 毫秒
1.
给出一种基于DDS技术的函数波形发生器设计方法.介绍了DDS技术在波形产生功能电路中的应用,并对FPGA实现DDS功能做了具体的说明.给出用单片机、FPGA、信号处理电路组成整个发生器的硬件结构和输出信号的波形.结果表明,该函数波形发生器具有输出频率稳定、准确,波形质量好和输出频率范围宽等优点.  相似文献   

2.
数字移相式信号发生器以单片机和FPGA为核心,用单片机来实现频率、相位的预置和步进,并完成正弦信号的频率和相位差显示。由于在设计中采用直接数字频率合成(DDS)技术,并用FPGA有效地扩展了输出波形的频率范围,实现了输出两路高精度相位差的正弦信号,使该系统性能稳定可靠。  相似文献   

3.
基于EDA技术,采用现场可编程阵列(FPGA)芯片和微控制器(MCU)芯片,利用编程的方法完成多路任意波形的信号产生器的设计。由于采用直接数字合成(DDS)技术,所设计的信号产生器具有高的频率稳定度、可编程调整的输出频率值以及多路输出信号之间相位值。实测结果表明,本文所研究的方法和研制的系统是可行的、有效的。  相似文献   

4.
数字移相式信号发生器   总被引:4,自引:0,他引:4  
数字移相式信号发生器以单片机和FPGA为核心,用单片机来实现频率、相位的预置和步进,并完成正弦信号的频率和相位差显示。由于在设计中采用直接数字频率合成(DDS)技术,并用FPGA有效地扩展了输出波形的频率范围,实现了输出两路高精度相位差的正弦信号,使该系统性能稳定可靠。  相似文献   

5.
提出了一种基于FPGA器件的双路DDS信号发生器的原理和实现方法。详细分析了双路DDS信号发生器的设计原理、给出了用FPGA器件实现DDS控制核心的逻辑设计和单片机程序设计方法,设计的信号发生器具有频率、相位、波形、幅度等参数高精度可编程控制的优点。  相似文献   

6.
基于DDS技术的双通道波形发生器   总被引:1,自引:0,他引:1  
利用FPGA芯片及DA转换器,采用直接数字频率合成技术(DDS),设计实现了一个频率、相位可控的函数波形发生器,同时阐述了直接数字频率合成技术的工作原理、电路结构、及设计的思路和实现方法,经过设计和电路测试,该函数波形发生器可以实现双路相同、波形不同,相位输出及双路不同波形输出,证明了基于FPGA的DDs设计的可靠性和可行性.  相似文献   

7.
以嵌入式微处理器软核NIOS Ⅱ为核心,将微处理器、总线、数字频率合成器(DDS)、存储器、I/O接口等硬件设备集中在一片FPGA上,创建一个SOPC系统。通过软件编程实现不同频率,不同相位的波形。SoC系统的构建是利用Altera的设计工具Quartus Ⅱ并结合Verilog-HDL语言,采用硬件编程的方法进行实现的。通过实验验证,本系统达到了预定的要求,并证明了采用软硬件结合,利用DDS技术实现函数波形发生器的方法是可行的。  相似文献   

8.
采用直接数字频率合成(DDS)技术,利用FPGA芯片和D/A转换器,在软件开发平台上完成了一种数据并行的新型DDS系统的设计和仿真。  相似文献   

9.
可编程逻辑器件FPGA具有便于编程、开发周期短、集成度高、功能强大、开发工具智能化、保密性好等特点,被广泛应用于通信及数字设计等领域。将DDS技术和FPGA器件相结合,采用加扰方法,利用HDL语言和原理图设计了一种基于FPGA的DDS信号源,它不仅能产生正(余)弦波、三角波、锯齿波、方波等常见波形信号,而且还能产生调频信号、调幅信号等调制信号以及扩频信号。实验结果表明,该设计电路稳定性好,能够使DDS电路在精确度与灵活性方面得到很大提高。  相似文献   

10.
基于DDS技术和FPGA的任意波形发生器设计   总被引:1,自引:0,他引:1  
依据DDS的基本原理,以QuartusⅡ软件平台作为开发工具,对FPGA芯片EP1C3T144C8实现的DDS结构中的数字部分及该部分与单片机的接口进行了设计,其中的波形RAM是任意波形数据的接收端.使用当前流行的虚拟仪器设计语言Lab Windows/CVI作为开发工具,利用计算机强大的计算显示功能,设计实现任意波形发生器的操作面板.通过在操作面板上选择正弦波、方波、三角波,锯齿波等常规波形或手动绘制测试需求的任意波形,并设置波形参数,产生符合接收要求的波形数据,进而控制硬件模块产生相应的波形信号.产生手动绘制任意波形数据是设计过程中的重点和难点,集中体现了任意波形发生器的“任意性”.  相似文献   

11.
基于LabVIEW和SOPC技术的信号发生系统   总被引:1,自引:0,他引:1  
采用SOPC结合虚拟仪器技术,进行任意波形发生器的研制.系统由LabVIEW波形编辑软件和任意波形发生器固件两大部分组成.二者采用异步串口进行通信.利用LabVIEW的强大功能,把波形的编辑,系统的设置放到计算机上完成.同时将DDS模块和微处理器模块集成到一个单片FPGA上.系统具有集成度高、稳定性好和扩展性强等优点,通过仿真测试,波形输出达到了理想的结果.  相似文献   

12.
基于FPGA的LCR测试仪   总被引:1,自引:0,他引:1  
介绍了一种LCR测试仪,它利用FPGA实现直接数字频率合成,产生波形好、幅值稳定的正弦波作为测试激励信号;相敏检波器设计方法独特,利用数字全波鉴相,提高了鉴相效率,改善了鉴相效果;采用了基于自由轴伏安测量法的测量原理和四端测量技术,并用C语言实现分布参数校正.  相似文献   

13.
本文介绍了基于FPGA技术,利用VHDL编程并加以简单的外围电路构成的数控移相正弦信号发生器。具体应用FPGA芯片及D/A转换器,采用直接数字频率合成技术(DDS),设计实现了一个频率、相位可控的正弦信号发生器。采用此方法设计的数控移相正弦信号发生器能够产生频率、相位均可数字式预置并可调节的两路正弦波信号,频率范围为20Hz至20kHz,相位差范围为0.359°,步进为1°。  相似文献   

14.
基于89C52单片机,采用直接数字合成DDS芯片AD9833设计了一个兼有频率测量功能的波形产生模块,可产生正弦波、三角波、脉冲波,输出波形频率为0.1 Hz~10MHz,测量频率范围为0.1 Hz~20MHz.测量中能自动切换频率量程,具有低失真输出波形和高测频精度.该模块可作为虚拟仪器的波形产生与测频部件,也可以构成独立的兼有频率测量功能的信号发生器或作为现有的单片机实验系统的波形产生与测频附件.  相似文献   

15.
用FPGA实现直接数字频率合成   总被引:1,自引:0,他引:1  
用FPGA实现直接数字频率合成在成本和灵活性方面比购买专用DDS更具优势,本文介绍了利用XILINX的FPGA器件(2S100-TQ144)实现直接数字频率合成器的工作原理、设计思路及电路实现方法。并对DDS的杂散来源作出定性分析,给出了实验结果。  相似文献   

16.
基于直接数字频率合成器(DDS),以单片机为控制器,控制AD9850来产生高精度的正弦信号和方波信号,通过键盘灵活准确地调节频率的大小,完成信号发生器的设计与实现.可以为各行业提供所需的特定信号.测试结果表明,该信号发生器可以产生两种信号,输出波形稳定,精确度高且频率可调.  相似文献   

17.
为精简DDS信号源的系统设计,可利用DSP Builder与VHDL混合设计方法,将DDS、参数输入接口、D/A输出接口集成于FPGA芯片内,改变了传统的由单片机来管理键盘、数码管、D/A等外围电路的系统设计思想,使系统更加精简可靠。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号