首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
The role of N2 on GaAs etching at 150 mTorr capacitively-coupled Cl2/N2 plasma is reported. A catalytic effect of N2 was found at 20-25% N2 composition in the Cl2/N2 discharges. The peak intensities of the Cl2/N2 plasma were monitored with optical emission spectroscopy (OES). Both atomic Cl (725.66 nm) and atomic N (367.05 nm) were detected during the Cl2/N2 plasma etching. With the etch rate and OES results, we developed a simple model in order to explain the etch mechanism of GaAs in the high pressure capacitively-coupled Cl2/N2 plasma as a function of N2 ratio. If the plasma chemistry condition became positive ion-deficient at low % N2 or reactive chlorine-deficient at high % N2 in the Cl2/N2 plasma, the GaAs etch rate is reduced. However, if the plasma had a more balanced ratio of Cl2/N2 (i.e. 20-25% N2) in the plasma, much higher etch rates (up to 150 nm/min) than that in pure Cl2 (50 nm/min) were produced due to synergetic effect of neutral chlorine adsorption and reaction, and positive ion bombardment. Pure Cl2 etching produced 14 nm of RMS surface roughness of GaAs. Introduction of ?20% N2 gas in Cl2/N2 discharges significantly reduced the surface roughness to 2-4 nm. SEM photos showed that the morphology of photoresist mask was strongly degraded. Etch rate of GaAs slightly increased from 10 to 40 nm/min when RIE chuck power changed from 10 to 150 W at 12 sccm Cl2/8 sccm N2 plasma condition. The surface roughness of GaAs etched at 12 sccm Cl2/8 sccm N2 plasma was 2-3 nm.  相似文献   

2.
Inductively coupled plasma reactive ion etching of SiC single crystals using NF3-based gas mixtures was investigated. Mesas with smooth surfaces and vertical sidewalls were obtained, with a maximum etch rate of about 400 nm/min. Effects of CH4 and O2 addition to the NF3 gas and the crystalline quality of substrates were studied during the SiC dry etching using various masks. Selectivity of the photoresist (PR) mask improved from about 0.2 to about 0.4 by the addition of 30% CH4 during the RIE, although the etch rate decreased by 50–70%. Results also indicated that the substrate quality does not significantly affect the etch results.  相似文献   

3.
Etching of silicon carbide (SiC) was conducted in a NF3/CH4 inductively coupled plasma (ICP) at low pressure. The etch responses examined include the etch rate, surface roughness, and profile angle. For the variations in the source power, the direct-current (DC) bias strongly affected the etch rate. The profile angle varied inconsistently with the bias power. It was commonly observed without regard to the pressure level that, at lower gas ratios, the surface roughness was inversely related to the DC bias. At higher gas ratios, the surface roughness seemed to be dominated by surface reactions. In estimating etch mechanisms, the DC bias played an important role in qualitatively separating chemical and physical effects.  相似文献   

4.
Reactive ion etching (RIE) was performed on gallium nitride (GaN) films grown by electron cyclotron resonance (ECR) plasma assisted molecular beam epitaxy (MBE). Etching was carried out using trifluoromethane (CHF3) and chloropentafluoroethane (C2ClF5) plasmas with Ar gas. A conventional rf plasma discharge RIE system without ECR or Ar ion gun was used. The effects of chamber pressure, plasma power, and gas flow rate on the etch rates were investigated. The etch rate increased linearly with the ratio of plasma power to chamber pressure. The etching rate varied between 60 and 500Å/min, with plasma power of 100 to 500W, chamber pressure of 60 to 300 mTorr, and gas flow rate of 20 to 50 seem. Single crystalline GaN films on sapphire showed a slightly lower etch rate than domain-structured GaN films on GaAs. The surface morphology quality after etching was examined by atomic force microscopy and scanning electron microscopy.  相似文献   

5.
对使用CF4/Ar 混合气体刻蚀Al1.3Sb3Te的特性进行了研究。实验控制的参数是:气体流入刻蚀腔的速率,CF4/Ar 比例,O2的加入量,腔内压强以及加在底电极上的入射射频功率。总的气体流量是50sccm ,研究刻蚀速率与CF4/Ar的比例,O2加入量,腔内压强和入射射频功率的关系。最后刻蚀参数被优化。 使用优化的刻蚀参数CF4的浓度4%,功率300W,压强800mTorr,刻蚀速率达到70.8nm/min,刻蚀表面平整  相似文献   

6.
The etching mechanism of (Bi4−xLax)Ti3O12 (BLT) thin films in Ar/Cl2 inductively coupled plasma (ICP) and plasma-induced damages at the etched surfaces were investigated as a function of gas-mixing ratios. The maximum etch rate of BLT thin films was 50.8 nm/min of 80% Ar/20% Cl2. From various experimental data, amorphous phases on the etched surface existed on both chemically and physically etched films, but the amorphous phase was thicker after the 80% Ar/20% Cl2 process. Moreover, crystalline “breaking” appeared during the etching in Cl2-containing plasma. Also the remnant polarization and fatigue resistances decreased more for the 80% Ar/20% Cl2 etch than for pure Ar plasma etch.  相似文献   

7.
An investigation of the Ba2Ti9O20 (BTO) and Pt thin films etch mechanism in the Cl2/Ar inductively coupled plasma was carried out. It was found that an increase in Ar mixing ratio at fixed gas pressure and input power causes a fast decrease in the BTO etch rate (26.9-1.2 nm/min for 0-100% Ar) while the Pt etch rate increases slightly from 17.4-23.0 nm/min. Langmuir probe diagnostics and zero-dimensional plasma modeling provided the data on plasma parameters, steady-state composition and fluxes of active species on the etched surface. From the model-based analysis of etch kinetics, it was shown that the behavior of the BTO etch rate corresponds to the reaction-rate-limited etch regime, where the etch rate is limited neither by physical sputtering of the main material nor by the ion-stimulated desorption of low-volatile reaction products. The etch process of Pt appears in the transitional regime and is controlled by the neutral and ion fluxes together.  相似文献   

8.
This paper describes the mechanism of selective Si3N4 etching over SiO2 in capacitively-coupled plasmas of hydrogen-containing fluorocarbon gas, including CHF3, CH2F2 and CH3F. The etch rate of Si3N4 and SiO2 is investigated as a function of O2 percentage in all plasma gases. Addition of O2 in feed gases causes plasma gas phase change especially H density. The SiO2 etch rate decreases with increase of O2 percentage due to the decline of CFx etchant. The Si3N4 etch rate is found to be strong correlated to the H density in plasma gas phase. H can react with CN by forming HCN to reduce polymer thickness on Si3N4 surface and promote the removal of N atoms from the substrate. Thus the Si3N4 etch rate increases with H intensity. As a result, a relative high selectivity of Si3N4 over SiO2 can be achieved with addition of suitable amount of O2 which corresponds to the maximum of H density.  相似文献   

9.
A number of F2-based plasma chemistries (NF3, SF6, PF5, and BF3) were investigated for high rate etching of SiC. The most advantageous of these is SF6, based on the high rate (0.6 μm·min−1) it achieves and its relatively low cost compared to NF3. The changes in electrical properties of the near-surface region are relatively minor when the incident ion energy is kept below approximately 75 eV. At a process pressure of 5 mtorr, the SiC etch rate falls-off by ∼15% in 30 μm diameter via holes compared to larger diameter holes (>60 μm diameter) or open areas on the mask. We also measured the effect of exposed SiC area on the etch rate of the material.  相似文献   

10.
High density plasma etching of mercury cadmium telluride using CH4/H2/Ar plasma chemistries is investigated. Mass spectrometry is used to identify and monitor etch products evolving from the surface during plasma etching. The identifiable primary etch products are elemental Hg, TeH2, and Cd(CH3)2. Their relative concentrations are monitored as ion and neutral fluxes (both in intensity and composition), ion energy and substrate temperature are varied. General insights are made into surface chemistry mechanisms of the etch process. These insights are evaluated by examining etch anisotropy and damage to the remaining semiconductor material. Regions of process parameter space best suited to moderate rate, anisotropic, low damage etching of HgCdTe are identified.  相似文献   

11.
Gd2O3 is a promising gate dielectric for GaN, but little is known of its dry etching characteristics. We achieved Gd2O3 etch rates up to ~600 Å · min?1 in high density Cl2-based discharges, with maximum selectivities of ~15 over GaN and ~4 over AlN. Pure Cl2 discharges produced reverse selectivities for both Gd2O3/GaN and Gd2O3/AlN, with typical values between 0.1–0.4. When a rare gas additive such as Ar or Xe was added to the plasma chemistry, the nitrides etched faster than the oxide. This indicates that volatile etch products (GaCl3, AlCl3, N2) form in Cl2-based plasmas once the GaN or AlN bonds are broken by ion bombardment, but that GdClx species are not volatile. In conjunction with the low efficiency for Gd2O3 bond-breaking at low ion energies, this leads to low selectivity.  相似文献   

12.
In this work, we investigated etching characteristics of BST thin films and higher selectivity of BST over Si using inductive coupled O2/Cl2/Ar plasma (ICP) system. The maximum etch rate of BST thin films and selectivity of BST over Si were 61.5 nm/min at a O2 addition of 1 sccm, 9.52 at a O2 addition of 4 sccm into the Cl2(30%)/Ar(70%) plasma, respectively. Plasma diagnostics was performed by Langmuir probe (LP), optical emission spectroscopy (OES) and quadrupole mass spectrometry (QMS). These results confirm that the increased etch rates at O2 addition of 1 sccm is the result of the enhanced chemical reaction between BST and Cl radicals and an ion bombardment effect.  相似文献   

13.
Cl2-based inductively coupled plasmas (ICP) with low additional dc self-biases (?100V) produce convenient etch rates (500–1500Å·min?1) for III-nitride electronic device structures. A systematic study of the effects of additive gas (Ar, N2, H2), discharge composition, process pressure, and ICP source power and chuck power on etch rate and surface morphology has been performed. The general trends are to go through a maximum in etch rate with percent Cl2 in the discharge for all three mixtures, and to have an increase (decrease) in etch rate with source power (pressure). Since the etching is strongly ion-assisted, anisotropic pattern transfer is readily achieved. Maximum etch selectivities of approximately six for InN over the other nitrides were obtained.  相似文献   

14.
In this work, the role of N2 gas during the chemical dry etching of silicon oxide layers in NF3/N2/Ar remote plasmas was investigated by analyzing the species in the plasma, the reaction by-products in the exhaust, and the chemical properties of the etched surface. Increasing the N2 gas flow rate resulted in an initial increase in the oxide etch rate up to a maximum value, followed by a subsequent decrease. The increased etch rate of the silicon oxide layers was not ascribed to the increased surface arrival rate of fluorine, but to the enhanced oxygen removal from the silicon oxide caused by the formation of NO2 molecules. Presumably, the NO radicals formed from the added N2 gas react chemically with the oxygen in the oxide, leading to the breaking of the Si-O bonds and the effective removal of oxygen, which in turn enhances the formation of SiF4 resulting in an increased etch rate.  相似文献   

15.
The dielectric properties and reliability of fluorinated HfO2 have been studied. The fluorinated HfO2 dielectric treated by NF3 plasma showed improved dielectric characteristics but resulted in interfacial layer (IL) regrowth during the fluorine plasma treatment process, which led to an oxide capacitance reduction and poor electrical characteristics. Through the analysis of chemical composition and electrical characteristics, it has been revealed that the Hf-O bonds in HfO2 layer were converted to Hf-F bonds by the plasma treatment and then the dissociated oxygen diffused to the IL. In order to suppress the IL regrowth, newly fluorinated HfO2 has been developed. Reliability of fluorinated HfO2 dielectric was sharply improved without a decrease in the oxide capacitance at fluorine plasma treatment conditions of low power and temperature.  相似文献   

16.
This study characterizes an oxide etching process in a magnetically enhanced reactive ion etching (MERIE) reactor with a CHF3/CF4 gas chemistry. We use a statistical 24‐1 experimental design plus one center point to characterize the relationships between the process factors and etch responses. The factors that we varied in the design include RF power, pressure, and gas composition, and the modeled etch responses were the etch rate, etch selectivity to TiN, and uniformity. The developed models produced 3D response plots. Etching of SiO2 mainly depends on F density and ion bombardment. SiO2 etch selectivity to TiN sensitively depends on the F density in the plasma and the effects of ion bombardment. The process conditions for a high etch selectivity are a 0.3 to 0.5 CF4 flow ratio and a –600 V to –650 V DC bias voltage according to the process pressure in our experiment. Etching uniformity was improved with an increase in the CF4 flow ratio in the gas mixture, an increase in the source power, and a higher pressure. Our characterization of via etching in a CHF3/CF4 MERIE using neural networks was successful, economical, and effective. The results provide highly valuable information about etching mechanisms and optimum etching conditions.  相似文献   

17.
The etching mechanism of ZrO2 thin films in BCl3/Ar plasma was investigated using a combination of experimental and modeling methods. It was found that an increase in the Ar mixing ratio causes the non-monotonic behavior of the ZrO2 etch rate which reaches a maximum of 41.4 nm/min at about 30-35% Ar. Langmuir probe measurements and plasma modeling indicated the noticeable influence of a BCl3/Ar mixture composition on plasma parameters and active species kinetics that results in non-linear changes of both densities and fluxes for Cl, BCl2 and . From the model-based analysis of surface kinetics, it was shown that the non-monotonic behavior of the ZrO2 etch rate can be associated with the concurrence of chemical and physical pathways in ion-assisted chemical reaction.  相似文献   

18.
We investigated GaN films etched by using reactive ion etching (RIE) technique to fabricate the GaN-based devices. The samples were grown on sapphire substrate by metal organic chemical vapor deposition (MOCVD), and Ti/Al contacts were formed on n-GaN surfaces after etching processes. The effects of the kinds of reactive gases were evaluated by secondary ion mass spectrometry (SIMS). The results showed that in the sample etched using BCl3 gas, the signal from boron contaminations was strongly detected at the interface between the contact metal and n-GaN, and we found that additional etching in Cl2 plasma after etching with BCl3 gas was essential to make a good contact.  相似文献   

19.
The process window for the infinite etch selectivity of silicon nitride (Si3N4) layers to ArF photoresist (PR) and ArF PR deformation were investigated in a CH2F2/H2/Ar dual-frequency superimposed capacitive coupled plasma (DFS-CCP) by varying the process parameters, such as the low frequency power (PLF), CH2F2 flow rate, and H2 flow rate. It was found that infinitely high etch selectivities of the Si3N4 layers to the the ArF PR on both the blanket and patterned wafers could be obtained for certain gas flow conditions. The H2 and CH2F2 flow rates were found to play a critical role in determining the process window for infinite Si3N4/ArF PR etch selectivity, due to the change in the degree of polymerization. The preferential chemical reaction of hydrogen with the carbon in the hydrofluorocarbon (CHxFy) layer and the nitrogen on the Si3N4 surface, leading to the formation of HCN etch by-products, results in a thinner steady-state hydrofluorocarbon layer and, in turn, in continuous Si3N4 etching, due to enhanced SiF4 formation, while the hydrofluorocarbon layer is deposited on the ArF photoresist surface.  相似文献   

20.
Etching of Ge2Sb2Te5 (GST) is a critical step in the fabrication of chalcogenide random access memories. In this paper, the etch characteristics of GST films were studied with a CF4/Ar gas mixture using a reactive-ion etching system. We observed a monotonic decrease in etch rate with decreasing CF4 concentration indicating its importance in defining the material removal rate. Argon, on the other hand, plays an important role in defining the smoothness of the etched surface and sidewall edge acuity. We have studied the importance of gas mixture and RF power on the quality of the etched film. The smoothest surfaces and most vertical sidewalls were achieved using a CF4/Ar gas mixture ratio of 10/40, a background pressure of 80 mTorr, and power of 200 W.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号