首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
The etching mechanism of ZrO2 thin films in BCl3/Ar plasma was investigated using a combination of experimental and modeling methods. It was found that an increase in the Ar mixing ratio causes the non-monotonic behavior of the ZrO2 etch rate which reaches a maximum of 41.4 nm/min at about 30-35% Ar. Langmuir probe measurements and plasma modeling indicated the noticeable influence of a BCl3/Ar mixture composition on plasma parameters and active species kinetics that results in non-linear changes of both densities and fluxes for Cl, BCl2 and . From the model-based analysis of surface kinetics, it was shown that the non-monotonic behavior of the ZrO2 etch rate can be associated with the concurrence of chemical and physical pathways in ion-assisted chemical reaction.  相似文献   

2.
An investigation of the Ba2Ti9O20 (BTO) and Pt thin films etch mechanism in the Cl2/Ar inductively coupled plasma was carried out. It was found that an increase in Ar mixing ratio at fixed gas pressure and input power causes a fast decrease in the BTO etch rate (26.9-1.2 nm/min for 0-100% Ar) while the Pt etch rate increases slightly from 17.4-23.0 nm/min. Langmuir probe diagnostics and zero-dimensional plasma modeling provided the data on plasma parameters, steady-state composition and fluxes of active species on the etched surface. From the model-based analysis of etch kinetics, it was shown that the behavior of the BTO etch rate corresponds to the reaction-rate-limited etch regime, where the etch rate is limited neither by physical sputtering of the main material nor by the ion-stimulated desorption of low-volatile reaction products. The etch process of Pt appears in the transitional regime and is controlled by the neutral and ion fluxes together.  相似文献   

3.
In this work, the role of N2 gas during the chemical dry etching of silicon oxide layers in NF3/N2/Ar remote plasmas was investigated by analyzing the species in the plasma, the reaction by-products in the exhaust, and the chemical properties of the etched surface. Increasing the N2 gas flow rate resulted in an initial increase in the oxide etch rate up to a maximum value, followed by a subsequent decrease. The increased etch rate of the silicon oxide layers was not ascribed to the increased surface arrival rate of fluorine, but to the enhanced oxygen removal from the silicon oxide caused by the formation of NO2 molecules. Presumably, the NO radicals formed from the added N2 gas react chemically with the oxygen in the oxide, leading to the breaking of the Si-O bonds and the effective removal of oxygen, which in turn enhances the formation of SiF4 resulting in an increased etch rate.  相似文献   

4.
Etching of Al is studied in pure BCl3 as well as in mixtures with other gases in the reactive sputter etching mode in a cryopumped system. Etch rate, selectivity with respect to positive photoresist, SiO2 and Si and etch profiles are investigated as a function of gas composition, gas pressure, flow rate and plasma power. Plasma chemical processes are monitored by quadrupole mass spectroscopy as well as by optical emission spectroscopy. Perfectly square Al-profiles can be etched if etch rates are kept below 1000 A/min. Al-patterns running over steep steps can also be clearly defined if a certain amount of overetching can be tolerated. The experimental data indicate that the etch process is reactant supply limited. Anisotropic etching is achieved by either a ‘surface inhibitor mechanism’ or the formation of a sidewall protecting film.  相似文献   

5.
The process window for the infinite etch selectivity of silicon nitride (Si3N4) layers to ArF photoresist (PR) and ArF PR deformation were investigated in a CH2F2/H2/Ar dual-frequency superimposed capacitive coupled plasma (DFS-CCP) by varying the process parameters, such as the low frequency power (PLF), CH2F2 flow rate, and H2 flow rate. It was found that infinitely high etch selectivities of the Si3N4 layers to the the ArF PR on both the blanket and patterned wafers could be obtained for certain gas flow conditions. The H2 and CH2F2 flow rates were found to play a critical role in determining the process window for infinite Si3N4/ArF PR etch selectivity, due to the change in the degree of polymerization. The preferential chemical reaction of hydrogen with the carbon in the hydrofluorocarbon (CHxFy) layer and the nitrogen on the Si3N4 surface, leading to the formation of HCN etch by-products, results in a thinner steady-state hydrofluorocarbon layer and, in turn, in continuous Si3N4 etching, due to enhanced SiF4 formation, while the hydrofluorocarbon layer is deposited on the ArF photoresist surface.  相似文献   

6.
Deep reactive ion etching (DRIE) of borosilicate glass was carried out using SF6 and SF6/Ar plasmas in an inductively coupled plasma (ICP) reactor. Electroplated Ni on Cu (≅50 nm)/Cr (≅100 nm)/glass structure using patterned SU-8 photoresist mask with a line spacing of 12-15 μm was used as a hard-mask for plasma etching. Plasma etching of borosilicate glass was performed by varying the various process parameters such as the gas chemistry, the gas flow ratio, the top electrode power, and the dc self-bias voltage (Vdc). In the case of using SF6 gas only, the profiles of the etched channel showed the undercut below the Ni hard-mask due to a chemical etching and the microtrenching at the bottom of the etched channel. An optimized process using the SF6 plasmas showed the glass etch rate of ≅750 nm/min. The addition of the Ar gas to the SF6 gas removed the undercut and microtrenching but decreased the etch rate to ≅540 nm/min. The increasing and decreasing time-dependent etch rates with the etch depth in the SF6 (200 sccm) and SF6(60%)/Ar(40%) plasmas, respectively, were ascribed to the different ion-to-neutral flux ratios leading to the different etch process regime.  相似文献   

7.
The etching mechanism of ZrO2 thin films and etch selectivity over some materials in both BCl3/Ar and BCl3/CHF3/Ar plasmas are investigated using a combination of experimental and modeling methods. To obtain the data on plasma composition and fluxes of active species, global (0‐dimensional) plasma models are developed with Langmuir probe diagnostics data. In BCl3/Ar plasma, changes in gas mixing ratio result in nonlinear changes of both densities and fluxes for Cl, BCl2, and BCl2+. In this work, it is shown that the nonmonotonic behavior of the ZrO2 etch rate as a function of the BCl3/Ar mixing ratio could be related to the ion‐assisted etch mechanism and the ion‐flux‐limited etch regime. The addition of up to 33% CHF3 to the BCl3‐rich BCl3/Ar plasma does not influence the ZrO2 etch rate, but it non‐monotonically changes the etch rates of both Si and SiO2. The last effect can probably be associated with the corresponding behavior of the F atom density.  相似文献   

8.
Reactive ion etch processes for modern interlevel dielectrics become more and more complex, especially for further scaling of interconnect dimensions. The materials will be damaged within such processes with the result of an increase in their dielectric constants. The capability of selected additives to minimize the low-k sidewall damage during reactive ion etching (RIE) of SiCOH materials in fluorocarbon plasmas was shown in different works in the past. Most of the investigated additive gases alter the fluorine to carbon ratio as well as the dissociation of the parent gas inside the etch plasma. The result is a changed etch rate, a modified polymerization behavior and other characteristics of the process induced SiCOH damage. Heavy inert ions like argon will be accelerated to the sample surface in the cathode dark space and enhance therewith the sputter yield on the SiCOH network [1]. In this paper the additives Ar, O2, C4F8, H2, N2 and CO were added to a conventional CF4 etch plasma. We try to provoke different changes in the plasma conditions and therewith in the process results. Contact angle measurements, spectroscopic ellipsometry, Hg-probe analysis, FTIR measurements and SEM cross-sections were used to overview the additive induced modifications. To understand the influences of the additives gases more exactly, changes in the physical and chemical plasma behavior must be analyzed. Therefore quadrupole mass spectrometry (QMS) and quantum cascade laser absorption spectroscopy (QCLAS) were used.  相似文献   

9.
Etching of silicon carbide (SiC) was conducted in a NF3/CH4 inductively coupled plasma (ICP) at low pressure. The etch responses examined include the etch rate, surface roughness, and profile angle. For the variations in the source power, the direct-current (DC) bias strongly affected the etch rate. The profile angle varied inconsistently with the bias power. It was commonly observed without regard to the pressure level that, at lower gas ratios, the surface roughness was inversely related to the DC bias. At higher gas ratios, the surface roughness seemed to be dominated by surface reactions. In estimating etch mechanisms, the DC bias played an important role in qualitatively separating chemical and physical effects.  相似文献   

10.
To avoid plasma induced erosion of chamber hardware, the application of remote plasma sources to activate the etch gases was introduced. We present results on the etch behaviour of titanium nitride (TiN) using mixtures of NF3, Cl2 and argon. The gas mixture was excited in a remote plasma source and then routed through a reaction chamber to study the etch behaviour of TiN samples which simulate the situation at the chamber walls. The dependency of the TiN etch rate on temperature, gas flow, composition and pressure was examined. While the temperature (studied in the range 25-300 °C) turned out to be the most sensitive parameter, the general etch rate was mainly dependent on the availability of atomic fluorine. Etch products and NF3/Cl2 dissociation have been monitored by quadrupole mass spectrometry and infrared spectroscopy. While NF3 showed a high decomposition up to 96%, chlorine decomposition was not observed. However the addition of chlorine increased the etch rates up to 260% in the low pressure/low temperature regime. Surface effects of chlorine addition are indicated by X-Ray Photoelectron Spectrometry and REM surface analysis.  相似文献   

11.
This paper describes the mechanism of selective Si3N4 etching over SiO2 in capacitively-coupled plasmas of hydrogen-containing fluorocarbon gas, including CHF3, CH2F2 and CH3F. The etch rate of Si3N4 and SiO2 is investigated as a function of O2 percentage in all plasma gases. Addition of O2 in feed gases causes plasma gas phase change especially H density. The SiO2 etch rate decreases with increase of O2 percentage due to the decline of CFx etchant. The Si3N4 etch rate is found to be strong correlated to the H density in plasma gas phase. H can react with CN by forming HCN to reduce polymer thickness on Si3N4 surface and promote the removal of N atoms from the substrate. Thus the Si3N4 etch rate increases with H intensity. As a result, a relative high selectivity of Si3N4 over SiO2 can be achieved with addition of suitable amount of O2 which corresponds to the maximum of H density.  相似文献   

12.
II–VI compounds have attracted increasing attention, primarily because of the large range of energy band gaps available. ECR plasma etching of CdTe in a CCl2F2/Ar discharge with rf biasing were investigated at different temperature and different flow rate ratio. The etch rate increases with the increase in flow rate of reactive gas and temperature. The use of ECR conditions with additional rf biasing provides the good etching of the surface and fast etch rates. The etch depths were measured by Dektek profilometry and the surface morphology with scanning electron microscopy. This paper reports the thermal effect on the etch process of CdTe and the effect of various gas flow rates and ratio between CCl2F2 and Ar.  相似文献   

13.
Sol-gel-derived Ba0.65Sr0.35TiO3 (BST) thin films were etched in CF4/Ar/O2 plasma using magnetically enhanced reactive ion etching technology. The maximum etch rate of BST film is 8.47 nm/min when CF4/Ar/O2 gas mixing ratio is equal to 9/36/5. X-ray photoelectron spectroscopy analysis indicates the accumulation of fluorine-containing by-products on the etched surface due to their poor volatility, resulting in (Ba,Sr)-rich and (Ti,O)-deficient etched surface. Compared to the unetched counterparts, the etched Ba 3d5/2, Ba 3d3/2, Sr 3d5/2, Sr 3d3/2, Ti 2p3/2, Ti 2p1/2 and O 1s photoelectron peaks shift towards higher binding energy regions by amounts of 1.31, 1.30, 0.60, 0.79, 0.09, 0.46 and 0.50 eV, respectively. X-ray diffraction (XRD) analysis reveals that intensities of the etched BST (1 0 0), (1 1 0), (2 0 0) and (2 1 1) peaks are lowered and broadened. Raman spectra confirm that the Raman peaks of the etched film shift towards lower wave number regions with the values of 7, 6, 4 and 4 cm−1, and the corresponding phonon lifetimes are longer than those of the unetched film because of the plasma-induced damage. When the etched films are postannealed at 650 °C for 20 min under an O2 ambience, the chemical shifts of Ba 3d, Sr 3d, Ti 2p and O 1s peaks, the variations for atomic concentrations of Ba, Sr, Ti and O, and the Raman redshifts are reduced, while the corresponding XRD peak intensities increase. It is conceivable that the plasma-induced damage of the etched film could be partially recovered during the postannealing process.  相似文献   

14.
The etching mechanism of (Bi4−xLax)Ti3O12 (BLT) thin films in Ar/Cl2 inductively coupled plasma (ICP) and plasma-induced damages at the etched surfaces were investigated as a function of gas-mixing ratios. The maximum etch rate of BLT thin films was 50.8 nm/min of 80% Ar/20% Cl2. From various experimental data, amorphous phases on the etched surface existed on both chemically and physically etched films, but the amorphous phase was thicker after the 80% Ar/20% Cl2 process. Moreover, crystalline “breaking” appeared during the etching in Cl2-containing plasma. Also the remnant polarization and fatigue resistances decreased more for the 80% Ar/20% Cl2 etch than for pure Ar plasma etch.  相似文献   

15.
Generating suitable passivation on the carbon sidewall is a major challenge facing carbon etching especially for films thicker than 500 nm. Patterning carbon hard mask stacks for sub 90 nm technologies was tested for three different O2-based chemistries using an inductively coupled plasma etch tool. The results show that the etched carbon profiles are highly dependant upon the O2 flow and the total time of the etch process. Extended over etch times quite often initiates lateral etching and rapid loss of profile and critical dimension. An HBr/O2/N2 chemistry has been shown to provide the best options for profile control and more resistance to profile loss during extended over etching than the other chemistries which were tested during this study.  相似文献   

16.
Sheet resistance of metal lines is mainly affected by critical dimension (CD), etch depth, and chemical mechanical planarization amount in damascene process. Therefore, these factors must be stably controlled in order to stabilize the sheet resistance of metal lines. Especially the etch depth, which is sensitive to the pattern density and the equipment conditions bring not only the variation of sheet resistance of metal lines but also the connection problem to the under-layered contacts. The objective of this study is to reduce the variation of the sheet resistance of metal lines by stabilization of the etch depth with etch stop layer (ESL). SiN film was used as an ESL while the intermetal dielectric (IMD) films were employed by the conventional fluorine-doped silicate glass (FSG)/SiH4 film with an increment of thickness by the employment of SiN film as an ESL. The selectivity of oxide-to-nitride was about 6.4:1 for etch stop step. While the stop layers were removed after the etch stop step, the pre-metal dielectric was also etched at the same time for the stable connection to the under-layered contacts. Comparing the ESL method to the conventional method, more stable metal lines were formed with the in-line CD measurement, thickness measurement, cross-sectional scanning electron microscopy analysis, and sheet resistance measurement from the view point of the connection to the under-layered contacts. The stable sheet resistance of metal lines was also obtained with the changes in etch time or thickness.  相似文献   

17.
Reactive ion etching (RIE) was performed on gallium nitride (GaN) films grown by electron cyclotron resonance (ECR) plasma assisted molecular beam epitaxy (MBE). Etching was carried out using trifluoromethane (CHF3) and chloropentafluoroethane (C2ClF5) plasmas with Ar gas. A conventional rf plasma discharge RIE system without ECR or Ar ion gun was used. The effects of chamber pressure, plasma power, and gas flow rate on the etch rates were investigated. The etch rate increased linearly with the ratio of plasma power to chamber pressure. The etching rate varied between 60 and 500Å/min, with plasma power of 100 to 500W, chamber pressure of 60 to 300 mTorr, and gas flow rate of 20 to 50 seem. Single crystalline GaN films on sapphire showed a slightly lower etch rate than domain-structured GaN films on GaAs. The surface morphology quality after etching was examined by atomic force microscopy and scanning electron microscopy.  相似文献   

18.
High density plasma etching of mercury cadmium telluride using CH4/H2/Ar plasma chemistries is investigated. Mass spectrometry is used to identify and monitor etch products evolving from the surface during plasma etching. The identifiable primary etch products are elemental Hg, TeH2, and Cd(CH3)2. Their relative concentrations are monitored as ion and neutral fluxes (both in intensity and composition), ion energy and substrate temperature are varied. General insights are made into surface chemistry mechanisms of the etch process. These insights are evaluated by examining etch anisotropy and damage to the remaining semiconductor material. Regions of process parameter space best suited to moderate rate, anisotropic, low damage etching of HgCdTe are identified.  相似文献   

19.
采用Cl2/Ar感应耦合等离子体(ICP)对单晶硅进行了刻蚀,工艺中用光刻胶作掩膜。研究了气体组分、ICP功率和RF功率等工艺参数对硅刻蚀速率和硅与光刻胶刻蚀选择比的影响,同时还研究了不同工艺条件对侧壁形貌的影响。结果表明,由于物理刻蚀机制和化学刻蚀机制的相对强度受到混合气体中Cl2和Ar比例的影响,硅刻蚀速率随着Ar组分的增加而降低,同时选择比也随之降低。硅刻蚀速率随着ICP功率的增大先增大继而减小,选择比则成上升趋势。硅刻蚀速率和选择比均随RF功率的增大单调增大。在Cl2/Ar混合气体的刻蚀过程中,离子辅助溅射是决定硅刻蚀效果的重要因素。同时,文中还研究分析了刻蚀工艺对于微槽效应和刻蚀侧壁形貌的影响,结果表明,通过提高ICP功率可以有效减小微槽和平滑侧壁。进一步研究了SiO2掩膜下,压强改变对于硅刻蚀形貌的影响,发现通过降低压强,可以明显地抑制杂草的产生。  相似文献   

20.
Silicon wafers were nitrided in a multiwafer plasma system at low temperatures (< 850°C). An argon plasma (400 kHz rf plasma) was used to which small quantities (approximately 2–8 %) of NH3, N2 or mixtures of N2 and H2 were added. As the rf power was increased, the film thickness as well as the etch rate (in buffered HF) increased. The rate of film growth was found to be slower than that for oxidation in a similar type of plasma system. The effects of variation of power and gas composition on film composition and etch rate are discussed.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号