首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 0 毫秒
1.
Hafnium-based dielectrics are the most promising material for SiO2 replacement in future nodes of CMOS technology. While devices that utilize HfO2 gate dielectrics suffer from lower carrier mobility and degraded reliability, our group has recently reported improved device characteristics with a modified HfxZr1−xO2 [R.I. Hegde, D.H. Triyoso, P.J. Tobin, S. Kalpat, M.E. Ramon, H.-H. Tseng, J.K. Schaeffer, E. Luckowski, W.J. Taylor, C.C. Capasso, D.C. Gilmer, M. Moosa, A. Haggag, M. Raymond, D. Roan, J. Nguyen, L.B. La, E. Hebert, R. Cotton, X.-D. Wang, S. Zollner, R. Gregory, D. Werho, R.S. Rai, L. Fonseca, M. Stoker, C. Tracy, B.W. Chan, Y.H. Chiu, B.E. White, Jr., in: Technical Digest - International Electron Devices Meet, vol. 39, 2005, D.H. Triyoso, R.I. Hegde, J.K. Schaeffer, D. Roan, P.J. Tobin, S.B. Samavedam, B.E. White, Jr., R. Gregory, X.-D. Wang, Appl. Phys. Lett. 88 (2006) 222901]. These results have lead to evaluation of X-ray reflectivity (XRR) for monitoring high-k film thickness and control of Zr addition to HfO2 using measured film density. In addition, a combination of XRR and spectroscopic ellipsometry (SE) is shown to be a fast and non-intrusive method to monitor thickness of interfacial layer between high-k and the Si substrate.  相似文献   

2.
Resistive switching behavior of HfO2 high-k dielectric has been studied as a promising candidate for emerging non-volatile memory technology. The low resistance ON state and high resistance OFF state can be reversibly altered under a low SET/RESET voltage of ±3 V. The memory device shows stable retention behavior with the resistance ratio between both states maintained greater than 103. The bipolar nature of the voltage-induced hysteretic switching properties suggests changes in film conductivity related to the formation and removal of electronically conducting paths due to the presence of oxygen vacancies induced by the applied electric field. The effect of annealing on the switching behavior was related to changes in compositional and structural properties of the film. A transition from bipolar to unipolar switching behavior was observed upon O2 annealing which could be related to different natures of defect introduced in the film which changes the film switching parameters. The HfO2 resistive switching device offers a promising potential for high density and low power memory application with the ease of processing integration.  相似文献   

3.
Two high-k gate stacks with the structure Si/SiO2/HfO2/TiN/poly-Si are characterised using nanoanalytical electron microscopy. The effect of two key changes to the processing steps during the fabrication of the stacks is investigated. Electron energy-loss spectroscopy is used to show that the TiN layer has a very similar composition whether it is deposited by PVD or ALD. Spectrum imaging in the electron microscope was used to profile the distribution of elements across the layers in the stack. It was found that when the anneal after HfO2 deposition is carried out in a NH3 atmosphere instead of an O2 atmosphere, there is diffusion of N into the SiO2 and HfO2 layers. There is also significant intermixing of the layers at the interfaces for both wafers.  相似文献   

4.
In this work, the role of N2 gas during the chemical dry etching of silicon oxide layers in NF3/N2/Ar remote plasmas was investigated by analyzing the species in the plasma, the reaction by-products in the exhaust, and the chemical properties of the etched surface. Increasing the N2 gas flow rate resulted in an initial increase in the oxide etch rate up to a maximum value, followed by a subsequent decrease. The increased etch rate of the silicon oxide layers was not ascribed to the increased surface arrival rate of fluorine, but to the enhanced oxygen removal from the silicon oxide caused by the formation of NO2 molecules. Presumably, the NO radicals formed from the added N2 gas react chemically with the oxygen in the oxide, leading to the breaking of the Si-O bonds and the effective removal of oxygen, which in turn enhances the formation of SiF4 resulting in an increased etch rate.  相似文献   

5.
Epitaxial growth of GaAs1-xPx layer on the Ge substrate has been investigated under the optimized growth conditions for reducing vapor etching of the substrate, using a Ga-PCl3-AsH3-H2 system. The free carrier concentration, ?ND+?NA??, and the electroluminescent properties of GaAs1-xPx layers with x ? 0·4 are studied, and are correlated with the Ge concentration involved. In the lightly doped region below 1×1017 atoms/cm3, bright electroluminescence is observed at room temperature from forward-biased p-n junctions fabricated by a zinc-diffusion technique. However, in the narrow region of 1×1017?4×1017 atoms/cm3, the enhanced amphoteric behavior of Ge leads to concentration quenching of visible-light emission. The ?ND+?NA?? reaches its maximum at ~ 1×1017 atoms/cm3. Nearly complete self-compensation is observed above 4×1017 atoms/cm3 due to the increase of the concentration of deep-lying Ge acceptors.  相似文献   

6.
Carrier lifetimes in silicon epitaxial layers deposited on high-dose oxygen-implanted wafers have been obtained from measurements of diode storage times. A figure of 1.25 ?S was obtained for diodes in the implanted area, compared with 1.75 ?S for diodes outside the implanted area on the same wafer. This marginal degradation of lifetime indicates that the dielectrically isolated structure should be able to support bipolar and dynamic logic devices.  相似文献   

7.
Annealing effects on electrical characteristics and reliability of MOS device with HfO2 or Ti/HfO2 high-k dielectric are studied in this work. For the sample with Ti/HfO2 higher-k dielectric after a post-metallization annealing (PMA) at 600 °C, its equivalent oxide thickness value is 7.6 Å and the leakage density is about 4.5 × 10−2 A/cm2. As the PMA is above 700 °C, the electrical characteristics of MOS device would be severely degraded.  相似文献   

8.
We show that a thin epitaxial strontium oxide (SrO) interfacial layer enables scaling of titanium nitride/hafnium oxide high-permittivity (high-k) gate stacks for field-effect transistors on silicon. In a low-temperature gate-last process, SrO passivates Si against SiO2 formation and silicidation and equivalent oxide thickness (EOT) of 5 Å is achieved, with competitive leakage current and interface trap density. In a gate-first process, Sr triggers HfO2-SiO2 intermixing, forming interfacial high-k silicate containing both Sr and Hf. Combined with oxygen control techniques, we demonstrate an EOT of 6 Å with further scaling potential. In both cases, Sr incorporation results in an effective workfunction that is suitable for n-channel transistors.  相似文献   

9.
10.
We present the process integration of the Pr-based high-k oxides Pr2O3, PrTixOy and PrxSiyOz for CMOS devices. MOS structures were grown in form of p+ poly-Si/Pr-based dielectric/Si(100) by MBE. RIE with CF4/O2 plasma was used to selectively remove the poly-Si layer. It was found that the Pr-based oxides layers can be dissolved with high selectivity in diluted H2SO4 solutions. Details of the etch kinetics of Pr-based oxides and poly-Si were studied. Electrical characteristics of MOS stacks with integrated PrxSiyOz are presented.  相似文献   

11.
A ternary WNxCy system was deposited in a thermal ALD (atomic layer deposition) reactor from ASM at 300 °C in a process sequence using tungsten hexafluoride (WF6), triethyl borane (TEB) and ammonia (NH3) as precursors. The WCx layers were deposited by a novel ALD process at a process temperature of 250 °C. The WNx layers were deposited at 375 °C using bis(tert-butylimido)-bis-(dimethylamido)tungsten (tBuN)2(Me2N)2W (imido-amido) and NH3 as precursors. WNx grows faster on plasma enhanced chemical vapor deposition (PECVD) oxide than WCx does on chemical oxide. WNxCy grows better on PECVD oxide than on thermal oxide, which is opposite of what is seen for WNx. In the case of the ternary WNxCy system, the scalability towards thinner layers and galvanic corrosion behavior are disadvantages for the incorporation of the layer into Cu interconnects. ALD WCx based barriers have a low resistivity, but galvanic corrosion in a model slurry solution of 15% peroxide (H2O2) is a potential problem. Higher resistivity values are determined for the binary WNx layers. WNx shows a constant composition and density throughout the layer.  相似文献   

12.
Although programming and erase speeds of charge trapping (CT) flash memory device are improved by using Al2O3 as blocking layer, its retention characteristic is still a main issue. CT flash memory device with Al2O3/high-k stacked blocking layer is proposed in this work to enhance data retention. Moreover, programming and erase speeds are slightly improved. In addition, sealing layer (SL), which is formed by an advanced clustered horizontal furnace between charge trapping layer and Al2O3 as one of the blocking layers is also studied. The retention characteristic is enhanced by SL approach due to lower gate leakage current with less defect. With the combination of SL and Al2O3/high-k stacked blocking layer approaches, retention property can be further improved.  相似文献   

13.
使用感应耦合等离子体化学气相沉积(Inductively coupled plasma chemical vapor deposition,ICPCVD)方法在GaN上沉积SiOx薄膜,生长参数中采用不同RF功率,研究RF功率对薄膜物理性能和电学性能的影响.结果发现,随着RF功率增大,薄膜应力增大,表面粗糙度减小,薄膜致密度增大.选择最优的RF功率参数,制作了SiOx/nGaN金属-绝缘体-半导体(metal-insulator-semiconductor,MIS)器件,结果得到薄膜漏电流密度在外加偏压为90V时小于1×10-7A/cm2,SiOx/n-GaN界面态密度为2.4×1010eV-1cm-2.表明利用ICPCVD低温沉积的SiOx-GaN界面态密度低,薄膜绝缘性能良好.  相似文献   

14.
This work compares the performance of the basic current mirror topology by using two different materials for gate dielectrics, the conventional SiON and an Hf-based high-k dielectrics. The impact of gate leakage and of channel length modulation on the basic current mirror operation is described. It is shown that in the case of SiON gate dielectrics with an equivalent oxide thickness (EOT) of 1.4 nm, it is not possible to find a value for the channel length which allows a good trade-off to be obtained while minimizing the gate leakage and reducing the channel length modulation. On the other hand, the study demonstrates that in the case of HfSiON gate dielectrics with similar EOT, appropriate L values can be found obtaining very high output impedance current sources with reduced power consumption owing to low leakage and most of all with better parameter predictability.  相似文献   

15.
For the first time, we present a comparative study on HfLaSiON and HfLaON gate dielectric with an equivalent oxide thickness (EOT) of 0.8 nm (Tinv = 1.2 nm). A detailed DC analysis of Ion vs. Ioff shows HfLaON performs somewhat better than HfLaSiON. However, positive bias temperature instability (PBTI) lifetime of HfLaSiON is higher than HfLaON by about 2 orders of magnitude. On the other hand, hot carrier stress lifetime for HfLaSiON was similar to that of HfLaON. From the activation energy and U-trap, we found that the cause of different threshold voltage (VT) shifts under PBT stress and detrapping was originated from stable electron traps induced by different charge trapping rates.  相似文献   

16.
Schottky contacts were produced by silver evaporation on Si(100) surfaces cleaned by ion sputtering and partial annealing. The samples work function were measured before and after metal deposition with the Kelvin method, in an experimental set-up which allowed a topografical study and direct comparison between n and p types. Clean surfaces with and without a residual layer of oxide was achieved and controlled by AES. It was found that the Fermi level of all the surfaces was pinned by donor states created by the bombardment and that there was no barrier on n type and an important surface barrier on p type. The diodes we obtained presented no barrier on n type and a rectifying contact on p type. So we deduced that the Schottky barrier is already fully formed before metal contact is achieved. Furthermore study of the electrical properties of the diodes had shown that the bombardment creates donor states responsible for the barrier and a perturbated layer with deep acceptor traps responsible for the current flow mechanism. A residual layer of oxide and a post annealing of the device did not noticeably change the Schottky barrier in the diodes achieved on p type but led to clearly differenciated performances for the diodes achieved on both p and n type substrate. So we therefore concluded that the characteristics of the deep acceptor traps of the superfacial layer are modified by the oxide and annealing, both of which on the other hand having no effect on the surface donor states.  相似文献   

17.
We report material and electrical properties of tungsten silicide metal gate deposited on 12 in. wafers by chemical vapor deposition (CVD) using a fluorine free organo-metallic (MO) precursor. We show that this MOCVD WSix thin film deposited on a high-k dielectric (HfSiO:N) shows a N+ like behavior (i.e. metal workfunction progressing toward silicon conduction band). We obtained a high-k/WSix/polysilicon “gate first” stack (i.e. high thermal budget) providing stable equivalent oxide thickness (EOT) of ∼1.2 nm, and a reduction of two decades in leakage current as compared to SiO2/polysilicon standard stack. Additionally, we obtained a metal gate with an equivalent workfunction (EWF) value of ∼4.4 eV which matches with the +0.2 eV above Si midgap criterion for NMOS in ultra-thin body devices.  相似文献   

18.
Single phase polycrystalline samples Na0.7Co1-xAlxO2 (x=0, 0.05, 0.10, 0.15, 0.20, 0.25, 0.30) were prepared by solid state reaction. The magnetic properties from 5 K to 300 K have been studied by dc and ac magnetic susceptibility measurements. Samples with lower doping quantity (x=0, 0.05, 0.10) showed paramagnetic behaviors, but those with higher doping quantity (x=0.20, 0.25, 0.30) showed spin-glass behaviors with a freezing temperature (Tf) of about 13 K.  相似文献   

19.
Experimental results on the dependence on deposition conditions of the electrical resistance and gauge factor of discontinuous gold films in the vicinity of the percolation threshold are reported. The three-dimensional nature of the films is emphasized. The gauge factor reveals anomalous behaviour.  相似文献   

20.
In this paper we present a simple method to determine the thermal resistance of a solar cell from its experimental Isc ? Voc characteristic. The knowledge of this parameter permits one to obtain the cell junction temperature and, consequently, the real Isc ? Voc characteristic of the cell. The method, which does not require any additional measurement, has been applied to our devices: reasonable agreement between theory and experiment was obtained.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号