首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
We have characterized the electron beam lithography (EBL) properties of the new negative tone resists, ma-N2410 and ma-N2405. These negative resists reacts under low electron dose values from 10 to 140 μC/cm2, tested using 10, 20, 28 keV electron beam. There was negligible loss of pattern height, which was attributed to the combined dose of the incident electron beam and the backscattered electrons. Experimental tests were performed under various EBL writing conditions of dose value, developing time, line-width and resist thickness. Our investigation showed that these new commercially available resists have high resolution and high contrast with non-chemical amplification, useful for micro-fabrication application.  相似文献   

2.
Commercial electron‐beam resists are modified into semimetallic resists by doping with 1–3 nm metal nanoparticles, which improve the resolution, contrast, strength, dry‐etching resistance, and other properties of the resist. With the modified resists, fine resist nanopatterns from electron‐beam lithography are readily converted into 5–50 nm, high‐quality multilayers for metallic nanosensors or nanopatterns via ion‐beam etching. This method solves the problem of the fabrication of fine (<50 nm) metallic nanodevices via pattern transferring.  相似文献   

3.
The increasing global environmental and energy crisis has urgently motivated the advancement of sustainable materials. Significant effort has been focused on developing new materials to replace the fossil-based resists in the semiconductor industry based on greener sources such as ice, dry ice, small organic molecules, and proteins. Such resist materials, however, have yet to meet the stringent requirements of high sensitivity, high resolution, reliable repeatability, and good compatibility with the current protocols. To this end, CO2-based polycarbonates (CO2-PCs) obtained from the copolymerization of CO2 and epoxides are demonstrated as sustainable dual-tone (positive & negative tone) resists for electron beam lithography. By adjusting the chemical structure, developing agent, and molecular weight, the CO2-PCs present high sensitivities to electron beam (1.3/120 µ C cm−2), narrow critical dimensions (29/58 nm), and moderate line edge roughness (4.6/26.7 nm) for negative and positive resists, respectively. A deep understanding of the exposure mechanism of CO2-PC resists is provided on the basis of the Fourier transform infrared, Raman, and electron ionization mass spectroscopy. 2D photonic crystal devices are fabricated using the negative and positive CO2-PC resists, respectively, and both devices show distinct colors derived from their well-defined nanostructures, indicating the great practical potential of CO2-derived electron beam resists.  相似文献   

4.
Resolution, overlay, and field size limits for UV, X-ray, electron beam, and ion beam lithography are described. The following conclusions emerge in the discussion. 1) At 1-µm linewidth, contrast for optical projection can be higher than that for electron beam. 2) Optical cameras using mirror optics and deep UV radiation can potentially produce linewidths approaching 0.5 µm. 3) For the purpose of comparing the resolution of electron beam and optical exposure, it is useful to define the minimum linewidth as twice the linewidth at which the contrast of the exposure system has fallen to 30 percent. 4) X-ray lithography offers the highest contrast and resist aspect ratio for linewidths above about 0.1 µm, but for dimensions below 0.1 µm, highest aspect ratio is obtained with electron beam. 5) With electron beam exposure on a bulk sample, contrast for a 50-nm linewidth is the same as that for 1-µm linewidth, provided the resist is thin. Higher accelerating voltages make it easier to correct for proximity effects and to maintain resolution with thick resist. 6) Ultimately the range of secondary electrons limits resolution in electron beam lithography, just as the range of photoelectrons limits resolution in X-ray lithography. In both cases, minimum linewidth and spacing in dense patterns is about 20 nm. Resolution with ion beams will probably be about the same because the interaction range of the ions will be similar to the electrons.  相似文献   

5.
The use of AZ 1350 family photoresists as negative electron resists is described. Conventional photolithographic technology is used to coat and process the resist, with the exception of an e-beam exposure for patterning. A flood UV exposure is used for image reversal. Using 1.5 µm initial thickness, the exposure threshold for 6 s development in 1 : 1 AZ : H2O developer is 7 µC/cm2. The resist contrast under these conditions is 1.3; and the sensitivity is about 25 µC/cm2(70% thickness remaining). Useful resolution on SiO2/Si and Al/SiO2/Si substrates is demonstrated to be at least 0.5 µm, and the resist is shown to mask the plasma etching of Al.  相似文献   

6.
Conventional lithography methods of gold patterning are based on deposition and lift‐off or deposition and etching. In this letter, we demonstrate a novel method of gold patterning using spin‐coatable gold electron‐beam resist which is functionalized gold nanocrystals with amine ligands. Amine‐stabilized gold electron beam resist exhibits good sensitivity, 3.0 mC/cm2, compared to that of thiol‐stabilized gold electron beam resists. The proposed method reduces the number of processing steps and provides greater freedom in the patterning of complex nanostructures.  相似文献   

7.
烘焙工艺条件对厚胶光刻面形的影响   总被引:6,自引:1,他引:5  
采用厚层正性光刻胶AZ P4620进行光刻实验,考察了在前烘和坚膜阶段不同的工艺参数条件下的光刻胶浮雕面形的变化.实验表明,完全显影后光刻胶的浮雕面形受前烘工艺参数的影响很小,但其显影速率有一定差别;当坚膜烘焙后,不同前烘条件下的浮雕面形差别较大;当前烘条件相同时,坚膜参数的变化对光刻胶的浮雕面形影响较大.由此得出,在前烘阶段应采取较高温度、较短时间的烘焙,而在坚膜阶段应采取较低温度、较长时间的烘焙,这样可提高厚胶光刻面形的质量.  相似文献   

8.
《Microelectronic Engineering》2007,84(5-8):1109-1112
The effect of development temperatures on the performance of three mostly used e-beam resists, ZEP-520, HSQ and SAL-601 have been investigated. For the positive resist ZEP-520, the contrast increases significantly, but the sensitivity decreases, with the decreasing of the development temperature. On the other hand, the negative resist HSQ whose sensitivity decreases dramatically, but the contrast increases, with the increase of developer temperature. Unlike the negative resist HSQ, the sensitivity of the negative chemically amplified resist SAL-601 enhances with the increase of the developer temperature and the contrast decreases. Using high contrast process, we have achieved high dense patterns with e-beam lithography at low electron energy.  相似文献   

9.
Morgan  R.A. Pollard  C.J. 《Electronics letters》1982,18(24):1038-1040
A nonthermal method of hardening positive photoresists by means of a scanned high-energy electron beam in preference to direct resist heating is described. It has been established that moderate electron doses produce significant resist cross-linking without flow. Plasma etch resistance can be enhanced in the resists with no degradation of the as-developed line profile.  相似文献   

10.
《Microelectronic Engineering》2007,84(5-8):774-778
The tendency to smaller feature sizes is continuing in the microelectronic industry. EBDW is a well introduced method for research and development of semiconductor devices. A tool architecture based on the variable-shaped beam (VSB) principle offers a significant throughput advantage compared to any Gaussian beam system. A VSB column with high resolution is presented in this paper which is capable of being applied down to the 32 nm node and below. Starting with electron-optical simulations the major influences on the resolution are considered: i.e. coulomb interaction, geometrical and chromatic aberrations. State of the art dynamic corrections are applied to the main field deflector. However, the manufacturing accuracy has also to be taken into account. A well balanced design was necessary to ensure the manufacturability of the final lens. Knife edge measurements were executed to verify the resolution enhancement. Exposed resist patterns are the most important results with respect to their practical use in the semiconductor industry. Several resists, CAR as well as conventional ones, positive and negative resists were exposed to get a realistic impression regarding the resolution capability of the new column. It was possible to achieve 14 nm single lines in HSQ resist.  相似文献   

11.
微制造光刻工艺中光刻胶性能的比较   总被引:4,自引:0,他引:4  
在MEMS微加工和实验过程过程中,出于制造成本、光刻胶性能的考虑,需要选用合适的光刻胶.本文介绍了常用的正性胶和负性胶以及其曝光、显影的过程,正性胶和负性胶曝光过程漫射的图形缺陷.比较了正性胶和负性胶的各种性能以及各种光刻方式下选用的正负性胶及它们的光刻灵敏度,为微加工过程和实验操作提供指导.  相似文献   

12.
The non-chemically amplified negative resist ARN 7520 produced by ALLRESIST GmbH shows excellent suitability for fabricating stamps for nanoimprinting with a pitch resolution as high as 70 nm and dense periodical structures using e-beam lithography and dry etching. Due to its chemical formulation, the resist does not swell in the developer, has good sensitivity and contrast. The adhesion of such class of resists is provided by silane containing promoters used before the spin-coating. However, for the lower exposure doses, the bonding of the nanostructures to the surface is still insufficient. Instead of the promoter, we evaporate 3–5 nm Ti layer before the resist spin-coating. This strongly improves the resist adhesion in a wide range of exposure doses, suppresses the influence of the substrate conductivity on the electron beam lithography parameters and also improves the structure profile during dry etching. Reducing ion voltage from 400 to 200 V midway through dry etching also helps to keep the structure walls more vertical. Silicon stamps with lines and spacings of 70–100 nm periods and an area of 3 × 3 mm2 have been successfully fabricated.  相似文献   

13.
《Microelectronic Engineering》2007,84(5-8):1096-1099
The behaviour of a new epoxy based resist (mr-EBL 6000.1 XP) as a negative resist for e-beam lithography is presented. We demonstrate that it is possible to define sub-100 nm patterns when irradiating thin (120 nm) layers of resist with a 10 keV electron beam. The dependence of resolution and remaining thickness on electron dose, electron energy and photo acid generator (PAG) content is determined. After the electron beam lithography process, the resist is used as a mask for reactive ion etching. It presents a good etch resistance, that allows transfer of patterns to the substrate with resolution below 100 nm.  相似文献   

14.
应用LB技术制备了厚度为20100nm的聚甲基丙烯酸甲酯(PMMA)超薄高分辨率电子束抗蚀层。应用改装的日立S-450扫描电子显微镜(SEM),研究了PMMALB膜的曝光特性和刻蚀条件。结果得到线宽0.15m的铝掩模光栅图形,表明此种超薄膜具有良好的分辨率和足够的抗蚀性。  相似文献   

15.
An exposure model for electron-sensitive resists   总被引:1,自引:0,他引:1  
We present a mathematical model for the exposure of electron-sensitive resists where an electron beam is incident normal to a substrate coated with a thin layer of resist. We include both the scattering of the incident electrons as they penetrate the resist and the electrons backscattered from within the resist and from the substrate. The calculations yield contours of equal absorbed energy density, and these are interpreted as the contours which bound the resist after development. The absorbed energy density is found as the sum, for all electrons, of the product of the energy absorbed per unit length of trajectory and the flux density of electrons at the point in question. We first calculate the absorbed energy density for an electron beam of vanishingly small cross section (an incident delta function) and then convolve that result with a beam of Gaussian current-density distribution to obtain the reSult for a single beam location. For poly(methyl methacrylate) resist, we study the achievable dot resolution, as a function of the incident charge, for various incident energies-and substrates. Since our main interest is in computer-controlled resist exposures in which patterns are generated as a succession of dots, we calculate the absorbed energy density contours for a line generated in that manner. Detailed comparison is made with the experimental results of Wolf et al., by fitting a single point on one contour at one beam energy to account for the unknown developer sensitivity. The resulting contours predict the undercutting effect experimentally observed for the 5-20-keV beam energies studied. The developed shape and linewidth are found to be nonlinear functions of the incident charge per unit length. Experimental data for the linewidth at 20 keV are presented and compared with theory.  相似文献   

16.
电子束能量、剂量对固化厚度影响的研究   总被引:1,自引:0,他引:1  
在电子束液态曝光技术的可行性已被证实的基础上,采用理论和实验相结合的方法,就电子束能量和剂量对固化深度的影响进行了研究,以TMPTA和环氧618两种液体低聚物为抗蚀剂,得出了不同能量、不同剂量下的有效穿透深度曲线,以及两种抗蚀剂在能量为25keV的电子束辐射下的临界剂量,固化出了十字形微结构。  相似文献   

17.
There is growing interest in the use of chemically-amplified resists (CARs) such as SU-8 in the field of microelectromechanical systems (MEMS) research. This is due to its outstanding lithographic performance and its ability for use in the fabrication of stable structures with very high aspect ratio. However, it is important to control the processing conditions for optimum results in the desired application. In this investigation, the thickness (10-25 μm) of SU-8 resist film, due to different spin coating speeds on silicon wafers, was measured using Fourier transform infrared (FT-IR) spectroscopy. The effect of thermal-initiated cross-linking at various temperatures (95-160 °C) for 15 min baking time on the 25 μm SU-8 resist was studied by monitoring the 914 cm−1 absorption peak in the FT-IR spectrum. Results of the experiments showed that the onset of thermal-initiated cross-linking begins at about 120 °C. Furthermore, 25 μm SU-8 resist was optimized for X-ray lithographic applications by studying the cross-linking process of the resist under different conditions of post-exposure bake (PEB) temperatures. The exposure dose of soft X-ray (SXR) irradiation with energies about 1 keV from a dense plasma focus (DPF) device was fixed at 2500 mJ/cm2 on the resist surface. Results showed that the optimum processing conditions consisted of an intermediate PEB at 65 °C for 5 min, with the PEB temperature ramped up to 95 °C over 1.5 min and then followed by a final PEB at 95 °C for 5 min. The scanning electron microscopy (SEM) images showed SU-8 test structures successfully imprinted, without affecting the resolution, and with aspect ratios of up to 20:1 on 25 μm SU-8 resist.  相似文献   

18.
Copolymers of phenyl methacrylate and methacrylic acid (phi-MAC) have been investigated as dry-etching durable positive electron resists. phi-MAC's are preheated above 170/spl deg/C to crosslink the polymers by intermolecular acid anhydride bonds. The crosslinked phi-MAC's become insoluble and do not swell even in development with strong solvents. They also have excellent thermal stability. These properties contribute to their high sensitivity of 5 µC/cm/sup 2/, gamma(contrast) = 2.0, and resolution finer than 0.2-/spl mu/m line patterns (65 /spl mu/C/cm/sup 2/). phi-MAC's have excellent dry-etching durabilities (e.g., 2 times stronger than PMMA in reactive sputter etching) and good adhesion to the substrate due to the protective effect of benzene rings and the adherent effect of carboxylic acid groups, respectively. The good properties of phi-MAC's make them prospective in the submicrometer electron lithography.  相似文献   

19.
《Microelectronic Engineering》2007,84(5-8):1033-1036
When using electron beam direct write for patterning, resist selection (positive or negative) plays an important role. This is because writing time for shaped beam machines is proportional to the mean density of exposed shapes. There is an optimum with respect to writing time when using either the direct exposure or the complementary exposure with reversed resist tonality. Switching from positive to negative resist or vice versa has an impact on writing time. In this paper, we derive the fundamental differences on CD accuracy when using direct or complementary exposure, which is given by the local registration error. Additionally, a simple method is developed to measure this local registration error by simple CD SEM measurement of 1:1 line/space patterns.  相似文献   

20.
To create submicrometer patterns with high accuracy on thick single-layer negative resist, error factors that degrade pattern accuracy have been investigated. Pattern accuracy was analyzed using a new evaluation method based on the difference between the resist development energy and the exposure energy at points on the edge of each shape. By introducing a new evaluation parameter, we were able to clarify error factors from the exposure conditions, the proximity effect correction method, and the machine exposure fluctuation. The evaluation parameterKisQ/Q_{0}whereQis the exposure dose appropriate for the desired resist thickness and Q0is the interface gel dose. It was found that the resist resolution and the rounding error of the exposure dose were serious error factors, especially in delineation on submicrometer patterns. To achieve 0.5-µm patterns with ±0.1-µm accuracy on 1-µm-thick negative resist, the resist evaluation parameterKmust be less than 2, the rounding error of the exposure dose must be less than 2.5 percent of the dose, and the beam addressing unit (LSB) must be less than 0.025 µm.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号