首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
CHF3-O2 reactive ion etching of 4H-SiC and the role of oxygen   总被引:1,自引:0,他引:1  
Reactive ion etching of 4H-SiC was performed using a CHF3-O2 plasma. The etch rate and mean surface roughness were investigated as a function of the ratio of the O2 flow rate to the total gas flow rate. It was found that oxygen plays an indirect role in contributing to the etching of SiC. An optimum O2 fraction of 20% was found to give a maximum etch rate of 35 nm/min. On the other hand, the root-mean-square (RMS) surface roughness was found to increase from 1.31 to 2.34 nm when the O2 fraction increased from 0% to 80%. Auger electron spectroscopy results for the samples etched at the optimum condition of 20% O2 fraction revealed the presence of oxygen on the etched surface in a form of an oxide-like layer (SiOx). No carbon residue (carbon rich-layer) and aluminum were found. Based on our results, the role of O2 in the reactive ion etching of 4H-SiC will be presented.  相似文献   

2.
The electron cyclotron resonance (ECR) etching of silicon carbide (SiC) was studied using SF6 + O2 based plasma. The role of O2 was studied by varying the O2 flow rate while keeping the total gas flow constant. It was found that oxygen enhances the etch rate at low O2 fraction through releasing more fluorine atoms, while lowers the etch rate at high O2 fraction by diluting fluorine atoms and forming an oxide-like layer. The etched surface roughness was found to be affected by the surface oxidation and oxygen ion related physical ion bombardment. The role of oxygen in chemical etching of carbon was found to be insignificant. In general, the etched surface is smooth and free of micromasking effect that can arise from Al contamination and C rich layer.  相似文献   

3.
The etching mechanism of (Bi4−xLax)Ti3O12 (BLT) thin films in Ar/Cl2 inductively coupled plasma (ICP) and plasma-induced damages at the etched surfaces were investigated as a function of gas-mixing ratios. The maximum etch rate of BLT thin films was 50.8 nm/min of 80% Ar/20% Cl2. From various experimental data, amorphous phases on the etched surface existed on both chemically and physically etched films, but the amorphous phase was thicker after the 80% Ar/20% Cl2 process. Moreover, crystalline “breaking” appeared during the etching in Cl2-containing plasma. Also the remnant polarization and fatigue resistances decreased more for the 80% Ar/20% Cl2 etch than for pure Ar plasma etch.  相似文献   

4.
Plasma etching of SiO2} with C2}F6} in a radial flow reactor was investigated to determine relationships between chemical aspects of the discharge, operating parameters such as power and flow rates, and uniformity of etching over a large area. The chemical conversion of C2}F6} in the discharge was monitored by infrared spectrometry of the exhaust gases, as a function of discharge power and gas flow rate. The input gas was found to be transformed mainly to CF2} and a polymeric material, and at sufficiently long residence times (∼1 sec) a "steady state " was achieved. At the steady state condition C2}F6} was found to be ∼60% converted. The amount of conversion was not influenced by the presence of SiO2} being etched, however, the production of CF4} was reduced by the presence of SiO2}. For a known flow rate and reactor dimensions the concentrations of species as a function of radial position in the reactor were calculated. It was demonstrated that the etch rate of SiO2} was dependent on this radial concentration profile. Uniform etching was obtained if the etching zone lay entirely within the "steady state" region of the discharge. Reduced etch rates or polymerization on the substrates was observed if large concentration gradients (rich in C2}F6}existed in the etching zone. It was concluded that the etch rate of SiO2} is dependent then on the local gas composition in the discharge, and can be manipulated by means of adjusting power and gas flow rates.  相似文献   

5.
This paper characterizes die damage resulting from various wafer thinning processes. Die fracture strength is measured using ball breaker test with respect to die surface finish. Further study on surface roughness and topography of each surface finish is obtained by atomic force microscopy (AFM) and scanning electron microscopy (SEM) techniques. Stress relief process with 25 μm removal is able to strengthen 100 μm wafer by 20.4% using chemical wet etch and 75 μm wafer by 36.4% with plasma etch. Relatively, plasma etching shows higher fracture strength and flexibility compared to chemical wet etch. This is due to topography of the finished surface of plasma etch is smoother and rounded, leading to a reduced stress concentration, hence improved fracture strength.  相似文献   

6.
Reactive ion etching (RIE) was performed on gallium nitride (GaN) films grown by electron cyclotron resonance (ECR) plasma assisted molecular beam epitaxy (MBE). Etching was carried out using trifluoromethane (CHF3) and chloropentafluoroethane (C2ClF5) plasmas with Ar gas. A conventional rf plasma discharge RIE system without ECR or Ar ion gun was used. The effects of chamber pressure, plasma power, and gas flow rate on the etch rates were investigated. The etch rate increased linearly with the ratio of plasma power to chamber pressure. The etching rate varied between 60 and 500Å/min, with plasma power of 100 to 500W, chamber pressure of 60 to 300 mTorr, and gas flow rate of 20 to 50 seem. Single crystalline GaN films on sapphire showed a slightly lower etch rate than domain-structured GaN films on GaAs. The surface morphology quality after etching was examined by atomic force microscopy and scanning electron microscopy.  相似文献   

7.
The role of HBr and oxygen on the etch selectivity and the post-etch profile in a polysilicon/oxide etch using HBr/O2 based high density plasma was studied. HBr/O2-based polysilicon etch process used in this study seems to be highly selective to the underlying oxide and produce a dielectric fill-friendly post-etch profile depending on the flow rates of HBr and oxygen. When appropriate amounts of HBr and oxygen (∼30 sccm of HBr and ∼3 sccm of oxygen) are present in the etch plasma, brominated silicon oxide seems to be deposited on the original gate oxide and the gate stack sidewall from the reaction of SiBrx (reaction product during polysilicon etch step) and oxygen during the HBr/O2-based oxide etch process. The deposited brominated oxide on the thin gate oxide seems to make the HBr/O2-based plasma etch process extremely selective to the thin gate oxide by protecting the underlying gate oxide. The deposited brominated oxide on the gate stack sidewall seems to prevent the notching by protecting the sidewall during gate stack etching. The etch rate of the brominated oxide seems to be much faster than that of the thermal oxide during the 200:1 diluted HF cleaning. However, the deposited brominated oxide on the thin gate oxide and the gate stack sidewall during the plasma etching survived the following 1 min 200:1 diluted HF cleaning, as was observed in a TEM micrograph (Fig. 2(a)).  相似文献   

8.
The isotropic etching of SiO2 with an SF6–O2 plasma is studied experimentally. It is shown that the key factors in the process are the total and partial pressures of SF6 and O2 and the RF power. A smoothed-down edge profile of contact windows is obtained if SF6 and O2 are mixed in a ratio of 1 : 10 to 1 : 5. The maximum etch rate is achieved at total pressures of 250 to 450 Pa.  相似文献   

9.
II–VI compounds have attracted increasing attention, primarily because of the large range of energy band gaps available. ECR plasma etching of CdTe in a CCl2F2/Ar discharge with rf biasing were investigated at different temperature and different flow rate ratio. The etch rate increases with the increase in flow rate of reactive gas and temperature. The use of ECR conditions with additional rf biasing provides the good etching of the surface and fast etch rates. The etch depths were measured by Dektek profilometry and the surface morphology with scanning electron microscopy. This paper reports the thermal effect on the etch process of CdTe and the effect of various gas flow rates and ratio between CCl2F2 and Ar.  相似文献   

10.
The quality of the surface of a semiconductor structure after plasma-chemical etching in plasma of HCl/Ar, HCl/Cl2, HCl/H2 mixtures, and freon R12 plasma is studied. It is shown that the optimal combination of the etch rate and surface roughness is achieved in the hydrogen chloride and argon mixture. In mixtures with hydrogen, the etch rates are too low for high surface quality; in mixtures with chlorine, the surface roughness exceeds technologically acceptable values due to high etch rates. The high-frequency discharge in freon R12 can be effectively used to etch semiconductors, providing technologically acceptable interaction rates, while retaining a uniform and clean surface.  相似文献   

11.
The plasma parameters and mechanisms of gallium arsenide (GaAs) reactive plasma etching in HCl-Ar and HCl-Cl2 mixtures under constant-current glow discharge conditions were investigated. The mathematical simulation of plasma helped to establish that the dilution of HCl with argon or chlorine results in opposite changes in the flux densities of chlorine atoms and ions. It was shown that variation in the GaAs etching rate in the HCl-Ar mixture corresponds to a change in the density of the chlorine atomic flux density on the surface. It was suggested that the nonmonotonic dependence of the etch rate upon the HCl-Cl2 mixture composition is caused by a change of the etching regime (its limiting stage) when the degree of coverage of the processed surface with the interaction of products varies.  相似文献   

12.
We have investigated the etching properties of p- and n-type β-FeSi2 crystals grown from solution. Characteristic shapes of etch pits depending on the surface orientation was observed on the etched surface by using diluted hydrofluoric acid (5% of HF) and HF:HNO3:H2O=1:1:(2–8) solutions. However, the shapes of etch pits were independent of the conduction type and carrier density of the crystals. We also found the anisotropy of etch rates. The etch rates of the HF:HNO3:H2O=1:1:2 solution at 22°C were approximately 1.57, 1.43 and 1.09 μm/min on {1 1 1}, {1 0 0} and {0 0 1} faces of p-type β-FeSi2 crystals, respectively.  相似文献   

13.
The dry etching of trenches in SiO2 by high-voltage gas discharge is studied theoretically and experimentally. General relations between etch rate and process parameters are established. The formalism is confirmed by experiment with a CF4 plasma.  相似文献   

14.
On the basis of numerical modeling, the effect of oxygen concentration on the etching rate of silicon in a CF4/O2 plasma is investigated. The calculations are carried out with the use of an improved model of a nonisothermal reactor with multicomponent kinetics incorporating F, F2, CF2, CF3, CF4, C2F6, O, O2, CO, CO2, COF, and COF2; altogether, there are twelve reagents. The competition of processes of interaction of fluorine with silicon and oxygen chemisorption on the wafer surface is considered the central mechanism responsible for reducing the etching rate. An improved model for describing the competing processes of etching, chemisorption of O and CF2, and adsorption of CF2 and CF3 radicals on silicon is proposed. The effect of model parameters on the etching rate is investigated. The chemisorption of O on silicon dominates over the adsorption processes of the CF2 and CF3 radicals. It is shown that the ratio of sticking coefficients of the fluorine and oxygen atoms substantially affects the location of the maxima of the spontaneous etching rate and concentration of active particles depending on the oxygen concentration in the mixture. If these coefficients are equal, the peak value of the spontaneous etching rate is attained at an oxygen concentration 10–15% lower than the concentration of active fluorine in the reactor volume.  相似文献   

15.
Inductively coupled plasma reactive ion etching of SiC single crystals using NF3-based gas mixtures was investigated. Mesas with smooth surfaces and vertical sidewalls were obtained, with a maximum etch rate of about 400 nm/min. Effects of CH4 and O2 addition to the NF3 gas and the crystalline quality of substrates were studied during the SiC dry etching using various masks. Selectivity of the photoresist (PR) mask improved from about 0.2 to about 0.4 by the addition of 30% CH4 during the RIE, although the etch rate decreased by 50–70%. Results also indicated that the substrate quality does not significantly affect the etch results.  相似文献   

16.
The role of N2 on GaAs etching at 150 mTorr capacitively-coupled Cl2/N2 plasma is reported. A catalytic effect of N2 was found at 20-25% N2 composition in the Cl2/N2 discharges. The peak intensities of the Cl2/N2 plasma were monitored with optical emission spectroscopy (OES). Both atomic Cl (725.66 nm) and atomic N (367.05 nm) were detected during the Cl2/N2 plasma etching. With the etch rate and OES results, we developed a simple model in order to explain the etch mechanism of GaAs in the high pressure capacitively-coupled Cl2/N2 plasma as a function of N2 ratio. If the plasma chemistry condition became positive ion-deficient at low % N2 or reactive chlorine-deficient at high % N2 in the Cl2/N2 plasma, the GaAs etch rate is reduced. However, if the plasma had a more balanced ratio of Cl2/N2 (i.e. 20-25% N2) in the plasma, much higher etch rates (up to 150 nm/min) than that in pure Cl2 (50 nm/min) were produced due to synergetic effect of neutral chlorine adsorption and reaction, and positive ion bombardment. Pure Cl2 etching produced 14 nm of RMS surface roughness of GaAs. Introduction of ?20% N2 gas in Cl2/N2 discharges significantly reduced the surface roughness to 2-4 nm. SEM photos showed that the morphology of photoresist mask was strongly degraded. Etch rate of GaAs slightly increased from 10 to 40 nm/min when RIE chuck power changed from 10 to 150 W at 12 sccm Cl2/8 sccm N2 plasma condition. The surface roughness of GaAs etched at 12 sccm Cl2/8 sccm N2 plasma was 2-3 nm.  相似文献   

17.
High density plasma etching of mercury cadmium telluride using CH4/H2/Ar plasma chemistries is investigated. Mass spectrometry is used to identify and monitor etch products evolving from the surface during plasma etching. The identifiable primary etch products are elemental Hg, TeH2, and Cd(CH3)2. Their relative concentrations are monitored as ion and neutral fluxes (both in intensity and composition), ion energy and substrate temperature are varied. General insights are made into surface chemistry mechanisms of the etch process. These insights are evaluated by examining etch anisotropy and damage to the remaining semiconductor material. Regions of process parameter space best suited to moderate rate, anisotropic, low damage etching of HgCdTe are identified.  相似文献   

18.
Two different plasma chemistries for etching ZnO were examined. Both Cl2/Ar and CH4/H2/Ar produced etch rates which increased linearly with rf power, reaching values of 1200 Å/min for Cl2/Ar and 3000 Å/min for CH4/H2/Ar. The evolution of surface morphology, surface composition, and PL intensity as a function of energy during etching were monitored. The effect of H in ZnO was studied using direct implantation at doses of 1015–1016 cm−2, followed by annealing at 500–700 °C. The hydrogen shows significant outdiffusion at 500 °C and is below the detection limits of SIMS after 700 °C anneals. SEM of the etched features showed anisotropic sidewalls, indicative of an ion-driven etch mechanism.  相似文献   

19.
The damage induced in the thin SiO2–Si system after an exposure to O2 and N2 plasma working in reactive ion etching (RIE) mode has been studied. A generation of high density (up to 5×1012 cm−2 in the first 15 s plasma exposure) of positive oxide charge in bulk traps as well as in slow states has been established. The RIE damage effects become highly process dependent as the plasma time increases, the fixed oxide charge first increases and then slows down or even turns around depending on discharge conditions. It is suggested that the relative contribution of the two main plasma components (ion bombardment and vacuum UV photons) at different discharge regimes is the reason for the appearance or the absence of the “turn-around” effect. It is established that the combination O2 plasma and low pressure is critical for the degradation of the plasma treated samples. The results reveal a strong linear correlation between the leakage current detected and plasma created positive charge.  相似文献   

20.
In this paper, inductively coupled plasma etching of Hg1−x Cd x Te in CH4–H2-based chemistry is studied. This work is focused on the effects of substrate temperature, ion energy, and alloy composition on etch rate and surface composition. A strong influence of substrate temperature is shown. The etch rate is multiplied by more than a factor of 3 when the temperature is increased from 5°C to 35°C. A purely physical Cd removal mechanism is ruled out using x-ray photoelectron spectroscopy data from samples etched at different temperatures. Under the conditions of very low ion energy, an etching mechanism limited by the supply of active species from the plasma predicts an Hg1−x Cd x Te etch rate evolution that fits very well with our data.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号