首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
An improved technique for obtaining tapping mode scanning force microscopy (TMSFM) images of soft samples submerged in water is described. This technique makes use of a carbon nanotube several microns in length mounted on a conventional silicon cantilever as the TMSFM probe. The sample is covered by a shallow water layer and during imaging only a portion of the nanotube is submerged. This mode of operation largely eliminates the undesirable effects of hydrodynamic damping and acoustic excitation that are present during conventional tapping mode operation in liquids and leads to high-quality TMSFM images. Because of their low bending force constants, carbon nanotubes are ideal for gentle imaging of soft samples. Because of their small (5–20 nm) diameter and cylindrical shape they provide excellent lateral resolution and are ideal for scanning high aspect ratio objects.  相似文献   

2.
Feng SC  Vorburger TV  Joung CB  Dixson RG  Fu J  Ma L 《Scanning》2008,30(1):47-55
It is difficult to predict the measurement bias arising from the compliance of the atomic force microscope (AFM) probe. The issue becomes particularly important in this situation where nanometer uncertainties are sought for measurements with dimensional probes composed of flexible carbon nanotubes mounted on AFM cantilevers. We have developed a finite element model for simulating the mechanical behavior of AFM cantilevers with carbon nanotubes attached. Spring constants of both the nanotube and cantilever in two directions are calculated using the finite element method with known Young's moduli of both silicon and multiwall nanotube as input data. Compliance of the nanotube-attached AFM probe tip may be calculated from the set of spring constants. This paper presents static models that together provide a basis to estimate uncertainties in linewidth measurement using nanotubes. In particular, the interaction between a multiwall nanotube tip and a silicon sample is modeled using the Lennard-Jones theory. Snap-in and snap-out of the probe tip in a scanning mode are calculated by integrating the compliance of the probe and the sample-tip interacting force model. Cantilever and probe tip deflections and points of contact are derived for both horizontal scanning of a plateau and vertically scanning of a wall. The finite element method and the Lennard-Jones model provide a means to analyze the interaction of the probe and sample and measurement uncertainty, including actual deflection and the gap between the probe tip and the measured sample surface.  相似文献   

3.
Feng YT  Deng SZ  Chen J  Xu NS 《Ultramicroscopy》2003,95(1-4):93-97
Experimental studies were devoted to the effect of structural parameters, i.e., tube diameter and density, on the field electron emission characteristics of carbon nanotubes. Thermal chemical vapor deposition system was employed to synthesize carbon nanotubes. Nanotubes with different diameters and densities were obtained by adjusting the thickness of the iron (Fe) catalyst film. The morphologies of the Fe and carbon nanotube film were characterized by scanning electron microscopy respectively. Further field emission measurement confirmed that the tube diameter and density could significantly affect the electron emission properties of the carbon nanotube. Possible physical reasons for the effect are discussed.  相似文献   

4.
Recent and ongoing improvements in aberration correction have opened up the possibility of depth sectioning samples using the scanning transmission electron microscope in a fashion similar to the confocal scanning optical microscope. We explore questions of principle relating to image interpretability in the depth sectioning of samples using electron energy loss spectroscopy. We show that provided electron microscope probes are sufficiently fine and detector collection semi-angles are sufficiently large we can expect to locate dopant atoms inside a crystal. Furthermore, unlike high angle annular dark field imaging, electron energy loss spectroscopy can resolve dopants of smaller atomic mass than the supporting crystalline matrix.  相似文献   

5.
Observations of carbon nanotubes under exposure to electron beam irradiation in standard transmission electron microscope (TEM) and scanning electron microscope (SEM) systems show that such treatment in some cases can cause severe damage of the nanotube structure, even at electron energies far below the approximate 100 keV threshold for knock-on damage displacing carbon atoms in the graphene structure. We find that the damage we observe in one TEM can be avoided by use of a cold finger. This and the morphology of the damage imply that water vapour, which is present as a background gas in many vacuum chambers, can damage the nanotube structure through electron beam-induced chemical reactions. Though, the dependence on the background gas makes these observations specific for the presently used systems, the results demonstrate the importance of careful assessment of the level of subtle structural damage that the individual electron microscope system can do to nanostructures during standard use.  相似文献   

6.
Electron yield was measured from patterned carbon nanotube forests for a wide range of primary beam energies (400–20,000 eV). It was observed that secondary and backscattered electron emission behaviors in these forests are quite different than in bulk materials. This seems to be primarily because of the increased range of electrons due to the porous nature of the forests and dependent on their structural parameters, namely nanotube length, diameter and inter‐nanotube spacing. In addition to providing insight into the electron microscopy of nanotubes, these results have interesting implications on designing novel secondary electron emitters based on the structural degrees of freedom of nanomaterials. SCANNING 31: 221–228, 2009. © 2010 Wiley Periodicals, Inc.  相似文献   

7.
Individual multiwalled carbon nanotube field emitters were prepared in a scanning electron microscope. The angular current density, energy spectra, and the emission stability of the field-emitted electrons were measured. An estimate of the electron source brightness was extracted from the measurements. The results show that carbon nanotubes are promising candidates to replace existing sources in high-resolution electron beam instruments.  相似文献   

8.
This is a comprehensive review of the combination of scanning probe microscopy (SPM) with various optical spectroscopies, with a particular focus on Raman spectroscopy. Efforts to combine SPM with optical spectroscopy will be described, and the technical difficulties encountered will be examined. These efforts have so far focused mainly on the development of tip-enhanced Raman spectroscopy, a powerful technique to detect and image chemical signatures with single molecule sensitivity, which will be reviewed. Beyond tip-enhanced Raman spectroscopy and/or topography measurements, combinations of SPM with optical spectroscopy have a great potential in the characterization of structure and quantitative measurements of physical properties, such as mechanical, optical, or electrical properties, in delicate biological samples and nanomaterials. The different approaches to improve the spatial resolution, the chemical sensitivity, and the accuracy of physical properties measurements will be discussed. Applications of such combinations for the characterization of structure, defects, and physical properties in biology and materials science will be reviewed. Due to the versatility of SPM probes for the manipulation and characterization of small and/or delicate samples, this review will mainly focus on the apertureless techniques based on SPM probes.  相似文献   

9.
Scanning probe microscopy is a frequently used nanometer-scale surface investigation technique. Unfortunately, its applicability is limited by the relatively low image acquisition speed, typically seconds to minutes per image. Higher imaging speeds are desirable for rapid inspection of samples and for the study of a range of dynamic surface processes, such as catalysis and crystal growth. We have designed a new high-speed scanning probe microscope (SPM) based on micro-electro mechanical systems (MEMS). MEMS are small, typically micrometer size devices that can be designed to perform the scanning motion required in an SPM system. These devices can be optimized to have high resonance frequencies (up to the MHz range) and have very low mass (10−11 kg). Therefore, MEMS can perform fast scanning motion without exciting resonances in the mechanical loop of the SPM, and hence scan the surface without causing the image distortion from which conventional piezo scanners suffer. We have designed a MEMS z-scanner which we have integrated in commercial AFM (atomic force microscope) and STM (scanning tunneling microscope) setups. We show the first successful AFM experiments.  相似文献   

10.
The field emission properties of the screen-printed carbon nanotube (CNT) composite cathode have close relationship with its microstructure. In this study, carbon nanotube composite cold cathode with ZnO nano-particles as binding material was prepared using screen-printing method. Electric field cycles were used to post-treat the carbon nanotube composite cold cathode. During the process of electric field cycle treatment, obvious heat-induced damages were observed from the cathode. Scanning electron microscope and transmission electron microscope were employed to analyze the morphology and microstructure of the cathode. The possible mechanisms responsible for damages were discussed.  相似文献   

11.
A new electron diffraction microscope based on a conventional scanning electron microscope (SEM), for obtaining atomic-level resolution images without causing serious damage to the specimen, has been developed. This microscope in the relatively low-voltage region makes it possible to observe specimens at suitable resolution and record diffraction patterns. Using the microscope we accomplished 10-kV diffractive imaging with the iterative phase retrieval and reconstructed the structure of a multi-wall carbon nanotube with its finest feature corresponding to 0.34-nm carbon wall spacing. These results demonstrate the possibility of seamless connection between observing specimens by SEM and obtaining their images at high resolution by diffractive imaging.  相似文献   

12.
An innovative stress/strain fields scanning probe microscopy in ultra high vacuum (UHV) environments is developed for the first time. This system includes scanning tunneling microscope (STM) and noncontact atomic force microscope (NC-AFM). Two piezo-resistive AFM cantilever probes and STM probes used in this system can move freely in XYZ directions. The nonoptical frequency shift detection of the AFM probe makes the system compact enough to be set in the UHV chambers. The samples can be bent by an anvil driven by a step motor to induce stress and strain on their surface. With a direct current (dc) power source, the sample can be observed at room and high temperatures. A long focus microscope and a monitor are used to observe the samples and the operation of STM and AFM. Silicon(111) surface in room temperature and silicon(001) surface in high temperature with stress were investigated to check the performance of the scanning probe microscope.  相似文献   

13.
Lee JH  Kang WS  Choi BS  Choi SW  Kim JH 《Ultramicroscopy》2008,108(10):1163-1167
Carbon nanotube (CNT)-tipped atomic force microscopy (AFM) probes have shown a significant potential for obtaining high-resolution imaging of nanostructure and biological materials. In this paper, we report a simple method to fabricate single-walled carbon nanotube (SWNT) nanoprobes for AFM using the Langmuir-Blodgett (LB) technique. Thiophenyl-modified SWNTs (SWNT-SHs) through amidation of SWNTs in chloroform allowed to be spread and form a stable Langmuir monolayer at the water/air interface. A simple two-step transfer process was used: (1) dipping conventional AFM probes into the Langmuir monolayer and (2) lifting the probes from the water surface. This results in the attachment of SWNTs onto the tips of AFM nanoprobes. We found that the SWNTs assembled on the nanoprobes were well-oriented and robust enough to maintain their shape and direction even after successive scans. AFM measurements of a nano-porous alumina substrate and deoxyribonucleic acid using SWNT-modified nanoprobes revealed that the curvature diameter of the nanoprobes was less than 3nm and a fine resolution was obtained than that from conventional AFM probes. We also demonstrate that the LB method is a scalable process capable of simultaneously fabricating a large number of SWNT-modified nanoprobes.  相似文献   

14.
We have established a fabrication process for conductive carbon nanotube (CNT) tips for multiprobe scanning tunneling microscope (STM) with high yield. This was achieved, first, by attaching a CNT at the apex of a supporting W tip by a dielectrophoresis method, second, by reinforcing the adhesion between the CNT and the W tip by electron beam deposition of hydrocarbon and subsequent heating, and finally by wholly coating it with a thin metal layer by pulsed laser deposition. More than 90% of the CNT tips survived after long-distance transportation in air, indicating the practical durability of the CNT tips. The shape of the CNT tip did not change even after making contact with another metal tip more than 100 times repeatedly, which evidenced its mechanical robustness. We exploited the CNT tips for the electronic transport measurement by a four-terminal method in a multiprobe STM, in which the PtIr-coated CNT portion of the tip exhibited diffusive transport with a low resistivity of 1.8 kOmega/microm. The contact resistance at the junction between the CNT and the supporting W tip was estimated to be less than 0.7 kOmega. We confirmed that the PtIr thin layer remained at the CNT-W junction portion after excess current passed through, although the PtIr layer was peeled off on the CNT to aggregate into particles, which was likely due to electromigration or a thermally activated diffusion process. These results indicate that the CNT tips fabricated by our recipe possess high reliability and reproducibility sufficient for multiprobe STM measurements.  相似文献   

15.
We succeeded in plan-view dynamic observation of the initial formation process of carbon nanotubes from β-SiC( 1 1 1 ) surfaces by time-resolved high resolution transmission electron microscopy. At 1360 °C, the flakes of graphite layers of a fibre orientation were formed on the SiC( 1 1 1 ) surfaces. From the graphite layers, carbon nanotubes were formed perpendicular to the ( 1 1 1 ) plane of the SiC. A scanning tunnelling microscopy observation showed that the end of carbon nanotube was closed. These results indicate that the caps of the carbon nanotubes are formed by a lift of a part of the graphene along the [ 1 1 1 ] direction of the SiC through generation of pentagons and heptagons. Two types of carbon nanotube, single-wall and double-wall, were observed in plan-view images. Different image intensity between an outer ring and an inner ring in double-wall nanotubes suggests that the inner layers of multiwall nanotubes are formed after the outer ones.  相似文献   

16.
Carbon nanotube (CNT)-tipped atomic force microscopy (AFM) probes have shown a significant potential for obtaining high-resolution imaging of nanostructure and biological materials. In this paper, we report a simple method to fabricate single-walled carbon nanotube (SWNT) nanoprobes for AFM using the Langmuir–Blodgett (LB) technique. Thiophenyl-modified SWNTs (SWNT-SHs) through amidation of SWNTs in chloroform allowed to be spread and form a stable Langmuir monolayer at the water/air interface. A simple two-step transfer process was used: (1) dipping conventional AFM probes into the Langmuir monolayer and (2) lifting the probes from the water surface. This results in the attachment of SWNTs onto the tips of AFM nanoprobes. We found that the SWNTs assembled on the nanoprobes were well-oriented and robust enough to maintain their shape and direction even after successive scans. AFM measurements of a nano-porous alumina substrate and deoxyribonucleic acid using SWNT-modified nanoprobes revealed that the curvature diameter of the nanoprobes was less than 3 nm and a fine resolution was obtained than that from conventional AFM probes. We also demonstrate that the LB method is a scalable process capable of simultaneously fabricating a large number of SWNT-modified nanoprobes.  相似文献   

17.
Morphological, thermal, mechanical, and solvent uptake was characterized for neat nylon 6, 6 and functionalized single-walled carbon nanotube/nylon 6, 6. Single-walled carbon nanotubes were functionalized by acid which introduced carboxyl groups on the nanotubes. Scanning electron micrographs of the fractured surfaces of the nanocomposites suggested that the functionalized single-walled carbon nanotubes were dispersed and embedded within the nylon 6, 6 polymer matrix. Polarized optical micrographs showed that the size of spherulites of the nanocomposites decreased, which may be due to nucleation of the carbon nanotubes. Thermogravimetric analysis showed that the stability of the functionalized single-walled carbon nanotubes/nylon 6, 6 nanocomposites was higher by 28–35°C than neat nylon 6, 6. The uptake of solvent by the functionalized single-walled carbon nanotube/nylon 6, 6 was lower than the neat nylon 6, 6, which may be due to the hydrophobic nature of functionalized single-walled carbon nanotubes and their interaction with the polymer.  相似文献   

18.
We have studied the interaction forces and electrical conduction properties arising between multiwall carbon nanotube tips and the Au(111) surface in air, by means of amplitude modulation scanning force microscopy, also called intermittent contact. We have centered our work on tips with metallic electronic structure and for the specific parameters used we have found a preliminary interaction range where there is no contact between tip and surface. Stable imaging in this non-contact range is possible with multiwall carbon nanotube tips. These tips have also been used to obtain simultaneous topographic and current maps of the surface. They show excellent properties as tips due to their high aspect ratio and durability, as a result of their elastic and non-reactive properties. Correspondingly, multiwall carbon nanotube tips allow high resolution local analysis of electrical conductivity on a nanometer scale.  相似文献   

19.
Effect of Carbon Nanotube Addition on Tribological Behavior of UHMWPE   总被引:2,自引:0,他引:2  
Carbon nanotubes (CNTs) were added to Ultra-high molecular weight polyethylene (UHMWPE) to improve the tribological properties of UHMWPE. CNTs which have a diameter of about 10–50 nm, while their length is about 3–5 nm were produced by the catalytic decomposition of acetylene gas using a tube furnace. Ball-on-disc-type wear tests were performed to evaluate the tribological performance of UHMWPE composites reinforced with CNTs. The results showed that addition of carbon nanotube up to 0.5 wt% lowered wear loss significantly and increased friction coefficient slightly. Also through the scanning electron microscope (SEM), the surfaces of UHMWPE were observed and analyzed to discuss the tribological behavior of CNT added UHMWPE.  相似文献   

20.
Kim T  Kim S  Olson E  Zuo JM 《Ultramicroscopy》2008,108(7):613-618
We present the design and operation of a transmission electron microscopy (TEM)-compatible carbon nanotube (CNT) field-effect transistor (FET). The device is configured with microfabricated slits, which allows direct observation of CNTs in a FET using TEM and measurement of electrical transport while inside the TEM. As demonstrations of the device architecture, two examples are presented. The first example is an in situ electrical transport measurement of a bundle of carbon nanotubes. The second example is a study of electron beam radiation effect on CNT bundles using a 200 keV electron beam. In situ electrical transport measurement during the beam irradiation shows a signature of wall- or tube-breakdown. Stepwise current drops were observed when a high intensity electron beam was used to cut individual CNT bundles in a device with multiple bundles.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号