首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 46 毫秒
1.
The distinctive features of time-resolved photoluminescence spectra of porous silicon created by chemical etching of single-crystal silicon subjected to modification by laser light has been investigated. Two bands were seen upon examination of the amplitude spectra: a band with a maximum at a wavelength (λ max⋍530 nm) and a band with λ max⋍420 nm and twice the intensity of the first. The relaxation curves are characterized by two sets of times: τ 1<2×10−8 s and 2×10−8 s<τ 2×10−4 s in the spectral ranges 420–700 nm and 500–850 nm, respectively. Upon increasing the energy density of the laser irradiation from ∼10 to ∼40 J·cm−2, the intensity of the photoluminescence and the contribution of the fast component increased as well. The difference in the photoluminescence spectra compared to the spectra of layers of porous silicon obtained by electrochemical etching is attributable to a shift in the distribution of nanocrystallite sizes toward smaller sizes, which leads to an increase in the area of the Si/SiOx surface that separates the phases. Although our results can be explained within the framework of a quantum-well model, we do not rule out the contribution of local centers at the Si/SiOx phase boundary. The slow component of the relaxation is approximated by a “stretched” exponential. It is assumed that the slow component is controlled by the rate at which photocarriers leave the quantum-well nanocrystallites by tunneling through the Si/SiOx barrier. Fiz. Tekh. Poluprovodn. 31, 6–10 (January 1997)  相似文献   

2.
The method of spin-dependent recombination was used to record electron spin resonance (ESR) spectra of recombination centers in a thin (∼1 μm) surface layer of p-type silicon grown by the Czochralski method and irradiated by protons with energies of ∼100 keV. Spectra of excited triplet states of the oxygen + vacancy complex (A-centers) were observed along with complexes consisting of two carbon atoms and an interstitial silicon atom (CS-SiI-CS complexes). The intensity of the ESR spectra of these radiation-induced defects was found to be largest at irradiation doses of ∼1013 cm−2, and decreased with increasing dose, which is probably attributable to passivation of the radiation-induced defects by hydrogen. Fiz. Tekh. Poluprovodn. 33, 1164–1167 (October 1999)  相似文献   

3.
An examination of shallow pre-amorphisedp + n junctions in silicon has revealed three distinct defect related phenomena determined largely by the annealing temperature and relative location of the junction and the amorphous-crystalline (α-c) boundary. For temperatures below 800‡ C all samples displayed leakage currents of ∼10−3 A/cm2 irrespective of the amorphising atom (Si+, Ge+ or Sn+). The generation centres responsible were identified to be near mid-gap deep level donors lying beyond the α-c interface. For samples annealed above 800‡ C, the leakage current was determined by the interstitial dislocation loops at the α-c boundary. If these were deeper than the junction, a leakage current density of ∼10−5 A/cm2 resulted. From the growth of these loops during furnace annealing it was concluded that the growth was supported by the influx of recoil implanted silicon interstitials initially positioned beyond the α-c boundary. In the case where the as-implanted junction was deeper than the α-c boundary, annealing above 800° C resulted in a transient enhancement in the boron diffusion coefficient. As with the dislocation loop growth, this was attributed to the presence of the recoil implanted silicon interstitials.  相似文献   

4.
The effect of irradiation by 300-keV Ar+ ions on the properties of electrochemically produced porous silicon is studied at doses of 5×1014–1×1016 cm−2. Raman scattering and photoluminescence data are used to show that the radiation hardness of porous silicon layers is substantially greater than that of single crystal silicon. Fiz. Tekh. Poluprovodn. 31, 1126–1129 (September 1997)  相似文献   

5.
Treatment with low-energy ions and measurements of electrical parameters of samples have been used to study the defect structure of Cd x Hg1 − x Te films grown by liquid-phase epitaxy. The films contain neutral defects supposedly associated with tellurium nanoinclusions. Ion treatment electrically activates these defects, with a high concentration of donor centers (∼1017 cm−3) created in the films. These defects decompose in ∼103 min of aging at room temperature. Then the properties of the material are determined by the concentration of residual donors, which is found to be very low (down to ∼1014 cm−3) for the films under study.  相似文献   

6.
Ultralow-dielectric-constant (k) porous SiCOH films have been prepared using 1,2-bis(triethoxysilyl)ethane, triethoxymethylsilane, and a poly(ethylene oxide)–poly(propylene oxide)–poly(ethylene oxide) triblock copolymer template by means of spin-coating. The resulting films were characterized by cross-section scanning electron microscopy, small-angle x-ray diffraction, atomic force microscopy, Fourier-transform infrared spectroscopy, nanomechanical testing, and electrical measurements. Thermal treatment at 350°C for 2 h resulted in the formation of ultralow-k films with k of ∼2.0, leakage current density of 3 × 10−8 A/cm2 at 1 MV/cm, reduced modulus (E r) of ∼4.05 GPa, and hardness (H) of ∼0.32 GPa. After annealing between 400°C and 500°C for 30 min, the resulting films showed fluctuant k values of 1.85 to 2.22 and leakage current densities of 3.7 × 10−7 A/cm2 to 3 × 10−8 A/cm2 at 0.8 MV/cm, likely due to the change of the film microstructure. Compared with 350°C annealing, higher-temperature annealing can improve the mechanical strength of the ultralow-k film, i.e., E r ≈ 5 GPa and H ≈ 0.56 GPa after 500°C annealing.  相似文献   

7.
Carrier removal rate (V d ) in p-6H-SiC in its irradiation with 8-MeV protons has been studied. The p-6H-SiC samples were produced by sublimation in vacuum. V d was determined by analysis of capacitance-voltage characteristics and from results of Hall effect measurements. It was found that complete compensation of samples with initial value of N a N d ≈ 1.5 × 1018 cm−3 occurs at an irradiation dose of ∼1.1 × 1016 cm−2. In this case, the carrier removal rate was ∼130 cm−1.  相似文献   

8.
Low-temperature (5K) photoluminescence of silicon substrates in the range 0.8–1.2 eV is studied before and after deposition of polycrystalline diamond films. The diamond films were deposited in the microwave plasma onto high-purity dislocation-free silicon (with the resitivity ρ ≈ 3 kΩ cm) subjected to mechanical polishing or more delicate chemical and mechanical polishing. The deposition temperature was 750–850°C. In the photoluminescence spectra of the samples with the substrates polished chemically and mechanically, two lines, D 1 and D 2, corresponding to the dislocation-related emission are recorded. Generation of dislocations in the substrates is caused by efficient adhesion of the diamond film and, as a result, by internal stresses that relax with the formation of dislocations. The experimental spectra are practically identical to the photoluminescence spectra observed in silicon (ρ ≈ 100 Ω cm) with the density of dislocations ∼104 cm−2.  相似文献   

9.
Electrophysical studies of Me/HfO2/Si(100) structures formed by the electron-beam evaporation method are carried out. The layers of a subgate dielectric are characterized by low values of the state density at the interfaces with silicon (∼1011 cm−2) as compared with values given for films formed by vapor deposition. It is shown that the structures are characterized by small leakage currents and high breakdown voltages.  相似文献   

10.
We report on the optical and magnetic properties of the magnetic semiconductor Zn(V)O fabricated by implantation of 195 keV 51V+ ions into bulk ZnO:Al grown by a hydrothermal technique. Two sets of the samples, containing N d N a ∼ 1015 cm−3 and 1018 cm−3, were implanted to doses of 1 × 1015 cm−2, 3 × 1015 cm−2, and 1 × 1016 cm−2. The ion implantation was performed at 573 K. To remove irradiation-induced defects, the samples were annealed in air at 1073 K. Photoluminescence (PL) measurements of Zn(V)O films were carried out at temperatures from 10 K to 300 K. The effects of implantation dose and free carrier concentration on the magnetic properties of Zn(V)O were studied using a superconducting quantum interference device magnetometer. Ferromagnetism has been observed in annealed highly conductive samples implanted to 1 × 1016 cm−2. The PL studies of ZnO bulk samples implanted with V+ have revealed that thermal annealing at 1073 K restores to a large extent the optical quality of the material. A new emission line centered at 3.307 eV has been found in the PL spectrum of the highly conductive samples implanted to the dose of 1 × 1016 cm−2, which is most probably due to complexes involving V ions.  相似文献   

11.
A plasma enhanced, in-situ, dry etching process for the cleaning of stainless steel III-V Metal Organic Chemical Vapor Deposition growth systems was investigated as a function of etchant gas, flow rate, electrode configuration, power density and plasma frequency. The plasma enhanced etching process was investigated using Ar, CH4 (5% in H2), CCl2F2 (Freon 12)/Ar and Cl2/Ar plasmas with flows varying from 5 to 25 seem. The plasma was excited using three electrode configurations, and two radio frequency generators (90–460 KHz and 13.56 MHz), singly and in combination. The plasma power was varied over the range from 200 to 700 Watts (∼0.2W/cm2 – 0.7W/cm2). The etching rates of GaAs, InP, As, and Mo were measured using a weight difference method. The Cl2/Ar plasmas exhibited etching rates typically 5 to 10 times greater than that of CCl2F2 plasmas, which in turn is several times greater than that of the other etchant gases investigated. At 400 W, elemental As etch rates, as high as ∼180μm/hr and ∼20μm/hr were achieved using Cl2 and CCl2F2 plasmas, respectively. InP/GaAs etch rates using Cl2 were ∼30μm/hr and using CCl2F2 were ∼7μm/hr. Plasma characteristics and etch rate measurements are reported. The in-situ process investigated is a safe, cost effective and an efficient method for increasing reactor uptime.  相似文献   

12.
ZnO TFT Devices Built on Glass Substrates   总被引:1,自引:0,他引:1  
ZnO thin-film transistors (TFTs) were built on glass substrates. The device with a top gate configuration operates in the depletion mode. The ZnO channel was grown by metalorganic chemical vapor deposition (MOCVD) on glass at low temperature. SiO2 was used as the gate dielectric. The TFT has an on/off ratio of ∼4.0 × 104 and a channel field-effect mobility of ∼4.0 cm2/V s. The average transmittance of the ZnO film in the visible wavelength is ∼80%. To compare the characteristics of the TFTs prepared by using a poly-ZnO and epitaxial-ZnO channel, an epi-ZnO TFT with the same configuration and dimensions was made on an r-Al2O3 substrate. The epi-ZnO TFT shows higher field-effect mobility of ∼35 cm2/V s and on/off ratio of ∼108.  相似文献   

13.
The Na-doped p-type ZnO thin films were prepared by DC reactive magnetron sputtering. Two types of substrates were used for separate testing purposes: silicon wafers for crystallinity measurements and glass slides for electrical and optical transmittance measurements. The lowest room-temperature resistivity under the optimal condition was 59.9 Ω cm, with a Hall mobility of 0.406 cm2 V−1s−1 and a carrier concentration of 2.57 × 1017 cm−3. The Na-doped ZnO thin films possessed a good crystallinity with c-axis orientation and a high transmittance (∼85%) in the visible region. The effects of the substrate temperature on the crystallinity and the electrical properties were discussed.  相似文献   

14.
In this report, the influence of magnesium doping on the characteristics of InGaN/GaN multiple quantum wells (MQWs) was investigated by means of atomic force microscopy (AFM), photoluminescence (PL), and X-ray diffraction (XRD). Five-period InGaN/GaN MQWs with different magnesium doping levels were grown by metalorganic chemical vapor deposition. The AFM measurements indicated that magnesium doping led to a smoother surface morphology. The V-defect density was observed to decrease with increasing magnesium doping concentration from ∼109 cm−2 (no doping) to ∼106 cm−2 (Cp2Mg: 0.04 sccm) and further to 0 (Cp2 Mg: 0.2 sccm). The PL measurements showed that magnesium doping resulted in stronger emission, which can be attributed to the screening of the polarization-induced band bending. XRD revealed that magnesium doping had no measurable effect on the indium composition and growth rate of the MQWs. These results suggest that magnesium doping in MQWs might improve the optical properties of GaN photonic devices.  相似文献   

15.
Current flow in an In-n-4H-SiC ohmic contact (n ≈ 3 × 1017 cm−3) has been studied by analyzing the temperature dependence of the per-unit-area contact resistance. It was found that the thermionic emission across an ∼0.1-eV barrier is the main current flow mechanism and the effective Richardson constant is ∼2 × 10−2 A cm−2 K−1.  相似文献   

16.
Metal-insulator-silicon capacitors have been fabricated using novel insulators of SiO2/HfO2-Al2O3-HfO2 (HAH)/Al2O3 and metallic HfN gate, exhibiting a program-erasable characteristic. The memory capacitor presents a large memory window of 2.4 V under +12 V program/–14 V erase for 10 ms, no erase saturation, and sufficient electron- and hole-trapping efficiencies such as an electron density of ∼7 × 1012 cm–2 under 13 V program for 0.5 ms and a hole density of ∼4 × 1012 cm–2 under –12 V erase for 0.5 ms. The observed properties are attributed to the introduction of high permittivity atomic-layer-deposited HAH/Al2O3 as well as high work function HfN gate. The related mechanism is addressed accordingly.  相似文献   

17.
This paper describes studies of InGaAs layers grown by molecular-beam epitaxy on InP (100) substrates at temperatures of 150–480 °C using various arsenic fluxes. It was found that lowering the epitaxy temperature leads to changes in the growth surface, trapping of excess arsenic, and an increased lattice parameter of the epitaxial layer. When these lowtemperature (LT) grown samples are annealed, the lattice parameter relaxes and excess arsenic clusters form in the InGaAs matrix. For samples grown at 150 °C and annealed at 500 °C, the concentration of these clusters was ∼8×1016 cm−3, with an average cluster size of ∼5 nm. Assuming that all the excess arsenic is initially trapped in the form of antisite defects, the magnitude of the LT-grown InGaAs lattice parameter relaxation caused by annealing implies an excess arsenic concentration (N AsN GaN In)/(N As+N Ga+N In)=0.4 at.%. For layers of InGaAs grown at 150 °C, a high concentration of free electrons (∼1×1017 cm−3) is characteristic. Annealing such layers at 500 °C decreases the concentration of electrons to ∼1×1017 cm−3. The results obtained here indicate that this change in the free-electron concentration correlates qualitatively with the change in excess arsenic concentration in the layers. Fiz. Tekh. Poluprovodn. 33, 900–906 (August 1999)  相似文献   

18.
The use of silicon as a substrate alternative to bulk CdZnTe for epitaxial growth of HgCdTe for infrared (IR) detector applications is attractive because of potential cost savings as a result of the large available sizes and the relatively low cost of silicon substrates. However, the potential benefits of silicon as a substrate have been difficult to realize because of the technical challenges of growing low defect density HgCdTe on silicon where the lattice mismatch is ∼19%. This is especially true for LWIR HgCdTe detectors where the performance can be limited by the high (∼5×106 cm−2) dislocation density typically found in HgCdTe grown on silicon. We have fabricated a series of long wavelength infrared (LWIR) HgCdTe diodes and several LWIR focal plane arrays (FPAs) with HgCdTe grown on silicon substrates using MBE grown CdTe and CdSeTe buffer layers. The detector arrays were fabricated using Rockwell Scientific’s planar diode architecture. The diode and FPA and results at 78 K will be discussed in terms of the high dislocation density (∼5×106 cm2) typically measured when HgCdTe is grown on silicon substrates.  相似文献   

19.
The anodization of Al film on InP substrate and properties of anodic Al_2O_33/InPhave been investigated by AES,DLTS,I-V,C-V and ellipsometer.The results show that theanodic oxide Al_2O_3 has a permittivity of 11~12 and a resistivity of 1.3×10~(13) ohm-cm.Interfacestate density at Al_2O_3/InP is about 10~(11) cm~(-2)·eV~(-1).DLTS reveals that there is a continuouslydistributed interface electron traps at Al_2O_3/InP interface.Anodic Al_2O_3 exhibits good stabilityand electrical properties and could be used for passivation,diffusion mask and gate insulator,etc.  相似文献   

20.
Technological modes in which high-efficiency GaAs: Si/GaAs: C tunneling structures can be fabricated by MOS-hydride epitaxy have been determined. It was demonstrated that use of C and Si dopants makes it possible to obtain a p-n junction with low diffusion spreading of dopant profiles. It was shown that fabrication of high-efficiency tunnel diodes requires that GaAs layers should be doped with acceptor and donor impurities to a level of ∼9 × 1019 cm−3. Tunnel diodes were fabricated using the tunnel structures and their current-voltage characteristics were studied. Peak current densities J p ≈ 1.53 kA cm−2 and a differential resistance R ≈ 30 mΩ under a reverse bias were obtained in the tunnel diodes.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号