首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 0 毫秒
1.
该文采用DDS架构设计了可控正弦信号的产生方法。首先分析了数字可控正弦信号的基本原理;其次,采用Verilog HDL语言进行编程,在FPGA平台上进行了仿真及板级调试;最后,在示波器上得到了正确波形。该成果可用作一般信号处理过程的信号发生器,具有科学、准确、易实现,灵活及便携等优点。  相似文献   

2.
在FPGA芯片实现的DDS信号发生器已有一定的应用范围,为获得较宽的频率输出范围,一般需要存储相当数量的波形离散值,占用大量的芯片逻辑资源。这篇文章研究在存储较少量的波形离散值的情况下,通过对系统时钟进行分频,减小输出频率最小值,同时提高在低频处的频率分辨率,通过设定频率控制字为存储离散值个数的约数,保证输出波形重构良好、频率失真度低,节约芯片资源。本设计方案可输出多种波形,其中方波占空比亦可调节,将幅度调节设计在模拟运放电路中,可对幅度进行连续调节。整体设计软件化、模块化,易于调整和扩展。经验证,本设计方案可行,达到预期效果,有一定的工程指导意义和实用价值。  相似文献   

3.
波形平滑、频率稳定的正弦信号是仿真研究的重要前提。为了能够方便地产生此信号,文章提出了一种基于DDS技术的正弦信号发生器的设计方法。该方法利用FPGA芯片及D/A转换器,采用直接数字频率合成(DDS)技术,设计并实现了相位、频率可控的相位相差120°的三相正弦信号发生器。同时把在Matlab环境中用DSP Builder画的原理图转化为VHDL语言,然后通过信号分析在QuartusⅡ中模拟仿真,最终下载到FPGA试验箱,这样,接上示波器即可观察到三相正弦信号。文章给出了基于FPGA的三相正弦信号波形的设计方法,并经软件仿真测试验证及硬件测试,结果表明,该系统具有较高的精度和稳定性。  相似文献   

4.
针对正弦信号发生器设计中,直接数字频率合成技术存在相位截断误差的问题,以神经网络为技术基础,以FPGA为硬件核心,提出了一种新型的高频正弦信号发生器设计方案,有效克服了上述问题。阐述了这种方案的工作原理、电路结构以及设计思路和方法。经过设计和仿真测试,系统的主时钟频率可以达到95 MHz且不占用ROM存储空间,输出的正弦信号为2.5 MHz时,输出信号的杂散抑制为80 dB,可见该方案资源占用率低,无相位截断,输出信号杂散小且输出频率较高。  相似文献   

5.
介绍了一种基于FPGA的正弦信号发生器的系统设计.采用直接数字频率合成技术(DDS),借助8位高速数模转换器件DAC908输出正弦信号,进一步通过低通滤波器还原,由末级功放输出驱动50Ω负载.在改进的DDS算法结构基础上,系统的复杂度降低,更趋于模块化,产生的波形频率更准确,且输出信号范围为DC到10 MHz,频率分辨率达到0.1 Hz.性能测试结果表明,该系统可靠、快速,输出信号的频率具有高精度、高稳定度.  相似文献   

6.
本系统主要由数字频率合成电路、调制电路、宽带功率放大、单片机控制系统等模块构成.本设计通过上位机的命令给CY7C68013控制DDS芯片AD9851的频率相位控制字,生成正弦信号,DDS正弦信号的输出和调制信号发生器在模拟乘法器中实现幅度调制最后各种信号经过宽带放大后输出.通过实验测定,测试的输出频率准确度与稳定度达到10-6.  相似文献   

7.
介绍了一种正弦信号发生器。系统由SPCE061A单片机产生命令控制字和10kbps码元,AD9850产生正弦信号和FM信号,利用模拟开关4051实现调制度ma的程控和ASk/PSK信号。该系统具有波形失真度小、频率范围大且稳定、步进选择多等特点。  相似文献   

8.
系统沿用DDS的设计思路,采用单片机控制专用DDS芯片的设计方案,实现了一个频率、相位、幅度可控的正弦信号发生器。实验结果表明,系统的硬件电路结构简单,输出信号频率稳定,幅度误差小。  相似文献   

9.
设计一个基于FPGA的正弦信号发生器,该系统由FPGA、单片机和辅助电路三部分组成,能产生1kHz一10MHz范围、频率步进100Hz可调的正弦波信号。  相似文献   

10.
文章提出了基于高速DSP芯片TMS320C5402实现正弦信号发生器的设计原理与方法,介绍了所设计的正弦信号发生器的硬件结构电路图和软件程序结构图。结合DSP硬件特性,通过使用泰勒级数展开法得到设定参数的正弦波波形输出,从而达到设计目的。  相似文献   

11.
本文介绍了一种基于LabViEW FPGA的正弦脉冲信号的检测方法。这种方法是在FPGA中进行自功率谱的计算,然后确定信号的频率和幅值,判断出信号是否符合要求,最后将满足要求的信号上传至上位机。该种方法可以在实际中完成对于正弦脉冲信号的检测。  相似文献   

12.
介绍了DDS的发展历史及其两种实现方法的特点,论述了DDS的基本原理,并提出一种基于FPGA的DDS信号发生器的设计方法,使DDS信号发生器具有调频、调相的功能,最后对其性能进行了分析。实验表明该系统具有设计合理、可靠性高、结构简单等特点,具有很好的实用价值。  相似文献   

13.
采用Verilog HDL语言在Ahera公司的FPGA芯片上实现了RISC_CPU的关键部件状态控制器的设计,以及在与其它各种数字逻辑设计方法的比较下,显示出使用Verilog HDL语言的优越性.  相似文献   

14.
本系统利用STC89C51单片机作为主控电路,完成设计一个频率可调的正弦信号发生器。首先通过数模转换器DAC0832将单片机送来的数字信号转换成模拟信号,经运算放大电路对信号进行放大,又由低通滤波电路对信号进行滤波平滑处理,最终经示波器显示输出正弦信号波形。通过C语言程序控制实现对正弦信号频率大小的调制,并通过显示器LCD1602显示正弦波形及其频率值。本设计系统大致包括STC89C51单片机主控电路、DAC0832数模转换电路、LM324运算放大电路、LM324低通滤波电路、以及LCD1602液晶显示电路。  相似文献   

15.
俞兴明 《福建电脑》2009,25(9):11-12
本文介绍了几种基于数字信号处理器(DSP)的正弦波产生法。给出了这些产生正弦信号的算法和主要源程序代码或流程,同时给出了TMS320VC5402DSP与DA转换器TLC320ADC50的接口电路。经过程序的实际运行.得出了软件仿真和硬件仿真所得的正弦波形。最后,分析了各种方法的优缺点,讨论了调整输出信号频率的方法。  相似文献   

16.
M序列是一种具有近似白噪声性质的确定序列,在工程上常用M序列代替白噪声用于系统辨识试验。针对以往M序列产生方法的不足,提出了一种基于FPGA(Field Programming Gate Array)的M序列半定制实现方案。在Quartus II编程环境下,采用硬件描述语言Verilog HDL进行设计,并使用矢量波形文件仿真测试。该设计方案具有结构简单、可靠性高、灵活性强等优点。  相似文献   

17.
在生物电磁实验中,频率、幅值独立连续变化的正弦波信号源是低频交变电磁场必不可少的信号发生装置。单纯由硬件组成的装置,结构复杂,可控性较差。文章提出了一种基于PC机的参数可调正弦波发生装置,通过软、硬件的结合,既可以实现波形参数的独立连续变化,又具有良好的人机对话界面,原理简单,使用方便。实验证明,用该方法实现的参数可调正弦信号发生器,输出波形平滑规则,频率、幅值变化范围较大,具有较强的实用性。  相似文献   

18.
为设计一种频率连续可调、频带宽、幅值稳定、波形平滑的正弦信号发生器,采用可编程逻辑阵列和锁相环技术相结合,对正弦波形进行多频段数字拟合,从而在很宽的频带内都能得到高精度的正弦波。阐述了系统结构和设计原理,并用实验结果表明该方案的可行性。  相似文献   

19.
文章设计制作一款新颖实用的数字频率计。以FPGA芯片为核心处理器、以数码管作为显示器件、以74HC573作为显示驱动模块、以74HC138芯片作为作为动态扫描显示译码电路、以HCF40106六施密特反相器作为信号整形电路,以按键作为人机交互界面。设计制作数码驱动电路、显示电路、按键电路与信号整形电路等硬件电路;编程实现计数器、测频控制信号发生器、32位数据锁存器等软件模块。设计实践表明,该数字频率计具有测量既准、又快的优点。  相似文献   

20.
DDS技术在正弦信号发生器中的应用   总被引:8,自引:2,他引:6  
信号发生器在自动化测量等领域发挥着越来越重要的作用,直接数字合成(DDS)技术可以方便地对信号频率进行控制从而直接合成所需波形;该系统主控芯片采用Cygnal公司的高性能单片机C8051F040,实现整个电路的控制,正弦波的发生采用专用DDS芯片AD9850,可与单片机通过简单的并行或串行通信,完成外部输入频率数据与芯片内部频率相位控制字间的转换;考虑到通用性,信号发生器以高速单片机为核心,利用DDS芯片和FPGA,在产生常规正弦波的基础上,还可以对信号进行频率调制和幅度调制;同时还能产生二进制PSK、ASK信号。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号