首页 | 本学科首页   官方微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   29篇
  免费   1篇
  国内免费   1篇
电工技术   1篇
综合类   4篇
化学工业   7篇
金属工艺   1篇
建筑科学   4篇
轻工业   1篇
水利工程   1篇
武器工业   2篇
无线电   2篇
一般工业技术   1篇
自动化技术   7篇
  2024年   1篇
  2022年   1篇
  2021年   2篇
  2020年   1篇
  2017年   1篇
  2015年   2篇
  2014年   4篇
  2013年   2篇
  2012年   3篇
  2011年   2篇
  2010年   1篇
  2004年   3篇
  2000年   1篇
  1998年   1篇
  1997年   1篇
  1996年   1篇
  1995年   1篇
  1994年   1篇
  1991年   1篇
  1990年   1篇
排序方式: 共有31条查询结果,搜索用时 15 毫秒
21.
王文华  张晓青  邱金泉  成玉  张雨山  王静 《化工进展》2014,33(1):228-232,252
大量氮磷营养物质排入海湾,引起了富营养化、赤潮等一系列海洋污染问题,污海水中氮磷处理技术研究已引起人们的重视。磷酸铵镁化学沉淀法具有可同时脱除氨氮和磷酸盐,但还未应用于低浓度氨氮废水的处理,尤其是污海水中氨氮的处理。本文采用磷酸铵镁(MAP)化学沉淀法对污海水中氨氮进行处理实验研究,利用污海水中大量存在的Mg2+,以Na2HPO4作为沉淀剂,探讨了初始反应体系pH值、PO43?/NH4+投配比、反应时间等因素对氨氮脱除效果的影响。结合沉淀结晶物XRD和SEM分析,确定了MAP沉淀法处理污海水中氨氮的最佳反应条件:初始反应体系pH值为9.5~10.5,PO43?/NH4+投配比为1.1/1,反应时间为40 min。实验结果表明,在最佳反应条件下,随着氨氮初始浓度的增大,氨氮去除率逐渐增大,当进水氨氮浓度为12 mg/L时,氨氮去除率达到42.80%。  相似文献   
22.
将4株耐盐净污菌引入到循环式活性污泥法(CAST)反应器中,构成新型的生物强化CAST含盐废水处理系统。试验表明,在8 h的周期运行工艺中,当耐盐净污菌形成稳定的优势菌群后,可显著提高CAST对COD的去除率,去除率达到90%以上,提高了20%左右;生物强化CAST也具有一定的脱氮除磷能力,其对氨氮的去除率为95%左右、对总氮的去除率为65%左右、对总磷的去除率在30%~75%之间。生物强化CAST主反应池的MLSS值在2 500~4 500 mg/L之间变化。  相似文献   
23.
24.
介绍确定57号针刺雷管抽样方案的指导思想与原则;对本方案与国产制式产品进行对比分析,说明57号针刺雷管国产化抽样方案在风险性、经济性、可靠性方面具有的优点。  相似文献   
25.
雷达状态监测系统设计   总被引:2,自引:0,他引:2  
为降低雷达维修成本、提高雷达保障效率,针对雷达的不同信号分别设计不同的采集方式,完成雷达实时状态监测系统,确保采集监测信息的准确性。该设计增加了数据实时处理终端系统,能够实时处理雷达状态信息,对雷达出现的故障能够做到早发现、早报警、早维修,满足保障需求。  相似文献   
26.
低开销容错技术是当前软错误研究领域的热点。为了对微处理器进行低开销容错保护,首先就需要对微处理器可靠性(即体系结构弱点因子AVF (Architectural Vulnerability Factor))进行准确评估。然而,现有的AVF评估工具的精确性和适用范围都受到不同程度的限制。该文以微处理器上的核心部件(即存储部件)作为研究对象,对AVF评估方法进行改进,提出了一种访存操作分析和指令分析相结合的AVF评估策略HAES (Hybrid AVF Evaluation Strategy)。该文将HAES融入到通用的模拟器中,实现了更精确和更通用的AVF评估框架。实验结果表明相比其它AVF评估工具,利用该文提出的评估框架得到的AVF平均降低22.6%。基于该评估框架计算得到的AVF更加精确地反映了不同应用程序运行时存储部件的可靠性,对设计人员对微处理器进行低开销的容错设计具有重要指导意义。  相似文献   
27.
海岛海水资源利用模式   总被引:1,自引:0,他引:1  
对以海水利用为显著特征的海岛多水源利用体系的发展模式进行研究。分析海岛可利用水源,提出将海水利用引入海岛水资源循环系统,实现海水在饮用水、冲厕、空调等方面的多途径利用,提高海岛供水能力,为海岛开发的可持续发展提供保障。  相似文献   
28.
软错误易感性的阶段特性对微处理器进行动态容错管理是当前软错误研究领域的热点。针对体系结构弱点因子AVF是最常用的软错误易感性评估指标之一,提出了一种快速有效的AVF评估框架,对微处理器关键部件的AVF值进行评估。基于基本块和性能参数信息捕获部件软错误易感性的阶段特性,并利用k路划分和回归树算法对部件的软错误易感性进行阶段划分。实验结果表明,结合性能参数信息和回归树算法能够最好地对软错误易感性的阶段特性进行识别。  相似文献   
29.
With continuous technology scaling,on-chip structures are becoming more and more susceptible to soft errors.Architectural vulnerability factor (AVF) has been introduced to quantify the architectural vulnerability of on-chip structures to soft errors.Recent studies have found that designing soft error protection techniques with the awareness of AVF is greatly helpful to achieve a tradeoff between performance and reliability for several structures (i.e.,issue queue,reorder buffer).Cache is one of the most susceptible components to soft errors and is commonly protected with error correcting codes (ECC).However,protecting caches closer to the processor (i.e.,L1 data cache (L1D)) using ECC could result in high overhead.Protecting caches without accurate knowledge of the vulnerability characteristics may lead to over-protection.Therefore,designing AVF-aware ECC is attractive for designers to balance among performance,power and reliability for cache,especially at early design stage.In this paper,we improve the methodology of cache AVF computation and develop a new AVF estimation framework,soft error reliability analysis based on SimpleScalar.Then we characterize dynamic vulnerability behavior of L1D and detect the correlations between L1D AVF and various performance metrics.We propose to employ Bayesian additive regression trees to accurately model the variation of L1D AVF and to quantitatively explain the important effects of several key performance metrics on L1D AVF.Then,we employ bump hunting technique to reduce the complexity of L1D AVF prediction and extract some simple selecting rules based on several key performance metrics,thus enabling a simplified and fast estimation of L1D AVF.Based on the simplified and fast estimation of L1D AVF,intervals of high L1D AVF can be identified online,enabling us to develop the AVF-aware ECC technique to reduce the overhead of ECC.Experimental results show that compared with traditional ECC technique which provides complete ECC protection throughout the entire lifetime of a program,AVF-aware ECC technique reduces the L1D access latency by 35% and saves power consumption by 14% for SPEC2K benchmarks averagely.  相似文献   
30.
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号