首页 | 本学科首页   官方微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   48篇
  免费   5篇
  国内免费   3篇
电工技术   1篇
综合类   2篇
石油天然气   1篇
武器工业   1篇
无线电   30篇
自动化技术   21篇
  2024年   1篇
  2023年   1篇
  2022年   1篇
  2019年   3篇
  2018年   2篇
  2017年   1篇
  2015年   3篇
  2014年   4篇
  2013年   7篇
  2012年   8篇
  2011年   2篇
  2010年   6篇
  2009年   6篇
  2008年   3篇
  2007年   1篇
  2006年   6篇
  2005年   1篇
排序方式: 共有56条查询结果,搜索用时 31 毫秒
11.
在介绍CRC校验原理和传统CRC32串行比特算法的基础上,由串行比特型算法推导出一种CRC32并行算法。并结合SATAⅡ协议的要求,完成了SATAⅡ主控制器设计中CRC生成与校验模块的设计。最后通过在ISE平台上编写Verilog硬件描述语言,对SATA协议中帧结构数据进行仿真,验证该CRC32并行算法能够满足SATA接口实时处理的要求。  相似文献   
12.
孟琪  张杰  范晓星 《电子科技》2015,28(4):111-115
设计了基于SATA接口的固态阵列存储系统。系统选用FPGA作为硬件平台,内嵌PPC440处理器作为处理单元,以SATA接口的固态硬盘阵列作为存储介质,并采用RAID0技术。该存储阵列系统具有海量、高带宽等特点。系统同时具有实时存储、传输和管理等功能,并可脱机运行。  相似文献   
13.
设计了一个基于SATA接口的RAID5编解码控制器芯片,采用左对称奇偶校验技术,支持1个磁盘失效情况下的数据恢复.包括数据编码解码、校验生成、校验重建、SATA控制器接口和ARM接口等;支持3~8路独立通道的磁盘阵列和3.0 Gb/s的高速SATA数据传输,最大磁盘存储利用率达87.5%.采用TSMC 0.13μm工艺流片,芯片逻辑部分规模约6.8万门,可应用于各种存储管理系统.  相似文献   
14.
刘斌  孙铨钰 《计算机测量与控制》2014,22(5):1594-1596,1612
为了解决传统加固服务器主模块可靠性差和稳定性差的问题,提出了一种基于ATCA架构的加固主模块设计方法;该方法包括了基于冗余-48V的高性能服务器处理器供电电路设计技术、基于ECC校验的DDR2高带宽数据存储电路设计技术、基于SATA的高速存储技术等关键技术;经过了大量的测试和试验验证,该种新型的加固服务器主模块能够在恶劣环境下稳定运行,可靠性和稳定性都有了很大的提升。  相似文献   
15.
本文中分析了SATA硬盘在视频服务器上的适用性,比较了SATA硬盘和SCSI硬盘的异同,对涉及的关键技术和专业用语进行了解释。  相似文献   
16.
本文从中央电视台20套硬盘播出系统的设计和实际应用出发,结合全台网络制播一体化的发展,重点介绍硬盘播出系统,网络管理以及视音频文件在播出控制网络中的传输等几个方面,探讨适应全台网络制播一体化的自动播出控制系统的建设与发展。  相似文献   
17.
针对在重要科学实验活动中的数据存储不能满足大容量和高速传输的问题,提出一种基于ARM与SATA硬盘阵列的数据存储控制系统。本设计采用的AT91RM920作为CPU处理芯片,SIl3124作为SATA硬盘控制器,以FPGA作为系统逻辑处理模块,从而通过SATA硬盘阵列实现对数据的高速传输与大容量存储。  相似文献   
18.
为解决现有采集存储系统不能同时满足高速率采集,大容量脱机且长时间持续存储的问题,设计了一种基于SATA硬盘和FPGA的数据采集和存储方案。本设计由AD9627转换芯片,Altera Cyclone系列FPGA,JM20330串并转换双向桥接芯片完成硬件架构,由Verilog HDL语言编程实现软件架构,直接使用FPGA编程实现数据的多通道分配和磁盘阵列控制,分时处理A/D芯片采集到的高速率大容系量数据,再由串并转换芯片将目标数据存入串口SATA硬盘。实验结果表明,在150 MHZ的采样频率下,设计前端对中频10 MHz、带宽10 MHz的线性调频信号进行高速数据采集,设计后端能将采得的高速并行数据进行脱机、高速的大容量数据存储。与以往数据采集存储统相比较,基于FPGA的SATA硬盘数据采集存储技术,缩短了专用SATA硬盘控制器的开发周期,减轻了系统内部的存储压力,提升了数据的存储速度,安全性和强抗干扰性,实现了长时间、大容量的数据存储。  相似文献   
19.
嵌入式SATA存储系统的研究   总被引:3,自引:0,他引:3  
新兴的SATA技术为高速、便携、高性价比的嵌入式硬盘存储系统的研制提供了保障。将SATA2.5协议写到Virtex-5FPGA内部,通过GTP收发器实现高速串行数据传输与存储,可以突破PCI接口的瓶颈,使系统具备高速、实时、便携和海量存储等特点。  相似文献   
20.
王烨  张峰  李燕斌 《电讯技术》2012,52(11):1801-1804
针对机载高速数据存储需求,介绍了机载高速存储的主流技术,分析了各种存储方式的优缺点,基于FPGA中的高速串行收发器GTX,实现了SATA的IP核存储方式,采用固态硬盘(SSD),实现了单盘150 Mbyte/s的存储速度,提高了机载高速存储的抗振能力,增加了存储数据的灵活性,解决了机载及星载的海量数据存储问题.  相似文献   
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号