首页 | 本学科首页   官方微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   339篇
  免费   27篇
  国内免费   50篇
电工技术   12篇
综合类   13篇
化学工业   30篇
金属工艺   21篇
机械仪表   18篇
建筑科学   1篇
矿业工程   3篇
能源动力   1篇
轻工业   3篇
石油天然气   2篇
武器工业   1篇
无线电   219篇
一般工业技术   61篇
冶金工业   4篇
原子能技术   9篇
自动化技术   18篇
  2024年   1篇
  2023年   7篇
  2021年   6篇
  2020年   1篇
  2019年   10篇
  2018年   4篇
  2017年   10篇
  2016年   14篇
  2015年   10篇
  2014年   18篇
  2013年   20篇
  2012年   26篇
  2011年   34篇
  2010年   14篇
  2009年   25篇
  2008年   26篇
  2007年   25篇
  2006年   26篇
  2005年   20篇
  2004年   10篇
  2003年   17篇
  2002年   6篇
  2001年   9篇
  2000年   6篇
  1999年   5篇
  1998年   6篇
  1997年   6篇
  1996年   5篇
  1995年   9篇
  1994年   4篇
  1993年   7篇
  1992年   5篇
  1991年   3篇
  1990年   2篇
  1989年   3篇
  1988年   3篇
  1987年   1篇
  1984年   2篇
  1983年   1篇
  1982年   2篇
  1981年   1篇
  1980年   1篇
  1979年   1篇
  1976年   2篇
  1975年   1篇
  1974年   1篇
排序方式: 共有416条查询结果,搜索用时 15 毫秒
41.
The effect of reactive ion etch (RIE) induced damage on 4H-SiC surfaces etched in fluorinated plamas has been investigated and characterized using Ni Schottky diodes and x-ray photoelectron spectroscopic surface analysis. The diodes were characterized using current-voltage, current-voltage-temperature, and capacitance-voltage measurements with near ideal forward characteristics (n=1.07) and forward current density as high as 9000 A/cm2 from the control (unetched) devices. High current handling capability was observed in diodes with etched surfaces as well. Diodes with surfaces etched in CHF3 containing plasmas showed a significant reduction in the barrier height compared to the diodes with surfaces etched in CF4 containing plasma. Control devices exhibited high leakages when reverse biased, which is attributed to the presence of a thin (∼2 nm) oxide layer at the metal-semiconductor interface. However, under reverse bias diodes with CHF3-etched surfaces showed improvement in leakage current compared to diodes with CF4-etched surfaces and the control diodes.  相似文献   
42.
本文在大量实验的基础上,对微压传感器中硅杯形成的各向异性腐蚀技术的机理进行了详细分析,提出了几种切实可行的膜厚控制方法与正面图形的保护方法.对实验结果进行了分析与讨论,提出有价值的结论.  相似文献   
43.
除去干刻或高剂量等离子注入后的光刻胶,一般是采用化学溶剂和酸类等湿刻法,以前有时采用干燥氧的等离子灰化法,然而成本高,具有危险性和污染性的化学湿刻法直接造成了环境污染,使得全球气候变暖,能源的大量消耗,地下水受到污染等等,一种新的干式去胶并且处理后可用去离子水DI清洗残留物的工艺方法(ENVIRO)已经在半导体芯片厂被成功地使用了12个多月。对于产量10000片/周的芯片厂,相对于化学湿刻法一年可以节省5百万美元溶剂消耗。  相似文献   
44.
D.Y. Kim 《Thin solid films》2008,516(11):3512-3516
Under certain conditions during ITO etching using CH4/H2/Ar inductively coupled plasmas, the etch rate selectivity of ITO to photoresist (PR) was infinitely high because the ITO films continued to be etched, but a net deposition of the α-C:H layer occurred on the top of the PR. Analyses of plasmas and etched ITO surfaces suggested that the continued consumption of the carbon and hydrogen in the deposited α-C:H layer by their chemical reaction with In and Sn atoms in the ITO resulting in the generation of volatile metal-organic etch products and by the ion-enhanced removal of the α-C:H layer presumably play important roles in determining the ITO etch rate and selectivity.  相似文献   
45.
Crystallographically oriented etch traces produced by selective etchant on (111) and (110) habit faces of dicalcium strontium propionate [Ca2Sr(C2H5CO2)6] crystals are attributed to the growth traces nucleated during the superficial growth of crystal. This view is supported by the absence of such etch traces on seized habit faces and from the observations of layer structure on the bottom faces. Mother liquid acting as an etchant produces circular terraced depressions on habit faces. These depressions originate at the sites of isolated impurity centres. Identical features such as circular etch structures bounded by cylindrical outer periphery produced on cooled faces are attributed to isolated domains.  相似文献   
46.
One-to-one correspondence of dislocation etch pits have been established on the matched cleavage faces and on the opposite sides of thin flakes of calcium fluoride crystals. By selecting 022 and 022 reflections and MoKα1 radiation, stereopair projection x-rays topographs were studied and critically compared with optical micrographs. The dislocation etch pits and dislocation out crop images show a close resemblance. The orientation of the Burgers vectors of the dislocation lines has been identified and these lines lie parallel to the <110> directions. The growth history of the stratigraphical pattern has been studied using x-ray topographic technique.  相似文献   
47.
Staffs of the Semiconductor Electronics Division, the Information Technology Laboratory, and the Precision Engineering Laboratory at NIST, have developed a new generation of prototype Single-Crystal CD (Critical Dimension) Reference (SCCDRM) Materials with the designation RM 8111. Their intended use is calibrating metrology instruments that are used in semiconductor manufacturing. Each reference material is configured as a 10 mm × 11 mm silicon test-structure chip that is mounted in a 200 mm silicon carrier wafer. The fabrication of both the chip and the carrier wafer uses the type of lattice-plane-selective etching that is commonly employed in the fabrication of micro electro-mechanical systems devices. The certified CDs of the reference features are determined from Atomic Force Microscope (AFM) measurements that are referenced to high-resolution transmission-electron microscopy images that reveal the cross-section counts of lattice planes having a pitch whose value is traceable to the SI meter.  相似文献   
48.
In scanning-electron microscope injection measurements of hole diffusion lengths in n-type gallium arsenide Schottky barrier junctions the results obtained depend strongly on the surface treatment (exposure to air, H20, or HC1 treatments) after cleaving. The effect is attributed to band bending causing a p-region on the surface which allows collection of minority carriers produced far from the junction. Scanning of the semiconductor surface by the 25 keV electron beam for a few minutes prior to diffusion length measurements is found to remove these contamination effects and give reliable and consistent results.  相似文献   
49.
离子束刻蚀技术现在越来越多被应用在可见光乃至红外薄膜中,在这一技术中最为关键的环节就是如何精确地测量离子源对薄膜的刻蚀速率,此速率因不同材料不同工艺条件而变化。现在利用光谱测量与数据拟合的方法,能够快速简便地测定出被刻蚀的材料的物理厚度,从而标定离子束刻蚀的速率。  相似文献   
50.
基于GaAs PHEMT(赝配高电子迁移率晶体管)材料结构的设计,材料生长过程中增加了一层腐蚀终止层。经过大量的实验和腐蚀液体系的选取,完成了GaAs PHEMT工艺中能用于大批量生产的栅加工工艺。利用选择腐蚀终止层可以很容易地达到夹断电压和漏极电流的批量生产的一致性。本研究利用磷酸腐蚀液体系,在材料的设计中增加了InxGa1-xP腐蚀终止层,结果达到了预期目的,并已用于GaAs 0.25μm PHEMT标准工艺的生产中,获得了良好的经济效益。  相似文献   
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号