首页 | 本学科首页   官方微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   3篇
  免费   0篇
无线电   1篇
自动化技术   2篇
  2015年   2篇
  2013年   1篇
排序方式: 共有3条查询结果,搜索用时 0 毫秒
1
1.
本文根据FSK调制原理建立了调制模块,并将参数导入模型,得到理想调制波形。其次重点描述了FSK解调的原理,及各个模块的设置办法和设计原理和约束,根据分数延迟滤波器的参数配置数字滤波器。然后设计包括采样、量化、乘法、低通滤波器、门限判决等模块组成解调模块。然后再组建误码率分析模块。最后将调制模块、解调模块、误码率分析模块整合在一起。通过误码率信噪比的仿真得出适用的分数延迟滤波器的抽头系数,得出最接近于理论非相干解调的性能、并仿真分析了整个解调模块抗频偏的性能,为硬件FPGA实现并验证集群通信基带芯片打下了基础[1]。  相似文献   
2.
本文首先阐述分析差分检波BFSK(二进制频移键控)解调算法,计算出算法中分数延迟滤波器的系数。然后通过matlab的simulink工具建立调制解调算法模型,利用模型分析差分检波解调算法的性能,综合解调性能和资源占用率来优化分数延迟滤波器定点系数。通过误码率对比分析,最终得到一个占用资源较少且解调性能优异的算法模型。为硬件语言实现BFSK解调算法以及FPGA仿真验证打下基础。  相似文献   
3.
本文首先分析了卷积码编码和维特比译码的原理以及影响维特比译码的软判决的概念,然后针对维特比译码进行设计,分别有BMU单元的设计、ACS单元设计、PMU单元设计、SMU的设计并针对这些模块进行了一些优化。最后通过分析代码的通用性对代码进行了一些调整。在实际的代码编写中运用了matlab建立M文件实现卷积码编码和维特比解码的过程,按照matlab的M文件重新建立Verilog模块。最后通过仿真对比Verilog的输出与M文件的输出来检测代码的正确性。  相似文献   
1
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号