首页 | 本学科首页   官方微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   60篇
  免费   6篇
  国内免费   6篇
综合类   3篇
金属工艺   4篇
机械仪表   5篇
无线电   48篇
一般工业技术   11篇
自动化技术   1篇
  2023年   2篇
  2022年   4篇
  2021年   3篇
  2019年   1篇
  2018年   1篇
  2017年   2篇
  2016年   6篇
  2015年   2篇
  2014年   5篇
  2013年   4篇
  2012年   2篇
  2008年   5篇
  2007年   3篇
  2006年   3篇
  2005年   1篇
  2004年   2篇
  2003年   2篇
  2002年   3篇
  2001年   3篇
  2000年   3篇
  1998年   3篇
  1996年   1篇
  1994年   1篇
  1993年   1篇
  1990年   1篇
  1988年   1篇
  1987年   1篇
  1985年   2篇
  1983年   1篇
  1981年   2篇
  1980年   1篇
排序方式: 共有72条查询结果,搜索用时 15 毫秒
1.
研究激光退火对Inconel718时效合金的显微组织和硬度的影响.一台2.5kW的CO2激光机被用来照射试样的表面.在激光能作用下,试样表面层被加热后空冷.通过控制激光工艺参数,在表面不发生熔化的前提下,能够使一定厚度表面层内的硬度降低到标准退火合金的水平,而不影响试样内部母材的硬度.显微组织观察显示表面层的基体强化相(γ″和γ‘)在激光照射过程中被固溶,而其它二次相没有变化.γ″和γ‘的固溶被确定是表面层硬度下降的原因.在其它试验条件不变时,确立了退火层生成时由激光散焦距离和扫描速度描述的工艺参数范围.  相似文献   
2.
刘敏  郑柳  何志  王文武 《激光与红外》2022,52(4):515-521
目前,激光退火技术被广泛应用于半导体加工领域,但对如何选择激光条件进行相应的退火并没有系统清晰的准则可以参考,尤其是在硅的深注入杂质激活方面。本文通过对激光照射在硅晶圆上形成的温度场分布进行数值模拟研究,分析了激光波长和脉冲宽度对加热深度以及晶圆背面温度的影响。结果表明,延长激光波长或脉冲宽度,都有助于增加激光退火的加热深度。而对于特定的激活深度需求,存在着最优的激光波长和脉冲宽度组合,可以使退火所需要的激光脉冲能量最低,硅晶圆背面的温升最小。本文通过模拟仿真给出了激活深度在1~10μm范围内的最优波长和脉宽值,可为实现高效的深硅注入激光激活工艺提供重要的条件参考。  相似文献   
3.
脉冲激光退火纳米碳化硅薄膜的拉曼散射研究   总被引:1,自引:0,他引:1  
采用XeCl准分子激光实现了碳化硅薄膜的脉冲激光晶化,对退火前后薄膜样品拉曼散射谱特征进行了分析,探讨了激光能量密度对纳米碳化硅薄膜结构和物相特性的影响.结果显示晶态纳米碳化硅薄膜的拉曼散射峰相对体材料的特征峰显著宽化和红移,并显示了伴随退火过程存在着硅和碳的物相分凝现象.随着激光能量密度的增大,薄膜的晶化度提高,晶化颗粒增大,而伴随的分凝程度逐渐减小.  相似文献   
4.
激光退火工艺可以有效修复离子注入破坏的晶格结构,获得比传统退火方式更好的离子激活效率和激活深度,且不损伤Taiko硅片的正面器件,从而在FS-IGBT器件的制造过程中得到业界的广泛关注和应用。针对FS-IGBT激光退火工艺的特点,通过对退火深度、激光波长、光斑尺寸,以及Taiko薄片传输等技术的深入分析和数值仿真,完成了SLA500激光退火设备的研制,并通过现场测试数据验证。测试结果表明,SLA500激光退火设备的各项关键技术指标,如退火深度、激活效率、RS均匀性和重复性等,均能满足FS-IGBT激光退火工艺的量产应用。  相似文献   
5.
In this work, a novel atmospheric pressure plasma-assisted excimer laser annealing method for increasing the generation efficiency of poly-crystalline silicon from amorphous silicon layers is presented. Here, both the plasma and the laser propagate coaxially in order to generate energetic synergies. The influence of different process gases and plasma discharge modes as well as the working distance were investigated. Depending on the particularly applied plasma, the crystalline area was increased by a factor of approx. 1.1 to 1.9, where the highest efficiency was observed when introducing an argon plasma beam to the annealing process.  相似文献   
6.
张楠  张静  魏淑华  王艳蓉  王文武  闫江 《微电子学》2018,48(6):791-797, 805
从肖特基势垒高度、有效掺杂浓度和有效质量的优化和控制等方面,对接触电阻的最新技术进行了详细的总结。首先,分析了插入界面层的金属-绝缘体-半导体接触结构、界面钝化、杂质分凝技术对于降低肖特基势垒高度的效果。其次,讨论了原位掺杂、固相外延、低温离子注入以及激光退火技术对于提高源/漏掺杂浓度的作用。然后,介绍了通过控制SiGe材料的有效质量来优化接触电阻的技术。最后,通过结合原位掺杂、激光退火和固相外延等先进技术,实现了与CMOS工艺兼容的接触电阻优化集成,满足7/5 nm技术节点的需要。  相似文献   
7.
8.
We report the use of ultra-short, pulsed-laser annealed Ti/Au contacts to enhance the performance of multilayer MoS2 field effect transistors (FETs) on flexible plastic substrates without thermal damage. An analysis of the temperature distribution, based on finite difference methods, enabled understanding of the compatibility of our picosecond laser annealing for flexible poly(ethylene naphthalate) (PEN) substrates with low thermal budget (〈 200 ℃). The reduced contact resistance after laser annealing provided a significant improvement in transistor performance including higher peak field-effect mobility (from 24.84 to 44.84 cm2-V-l.s-1), increased output resistance (0.42 MΩ at Vgs- Vth = 20 V, a three-fold increase), a six-fold increase in the self-gain, and decreased sub- threshold swing. Transmission electron microscopy analysis and current-voltage measurements suggested that the reduced contact resistance resulted from the decrease of Schottky barrier width at the MoS2-metal junction. These results demonstrate that selective contact laser annealing is an attractive technology for fabricating low-resistivity metal-semiconductor junctions, providing important implications for the application of high-performance two-dimensional semicon- ductor FETs in flexible electronics.  相似文献   
9.
使用ArF准分子激光脉冲对UHV/CVD条件下生长的Ge量子点进行退火处理,获得了底宽为20~25nm的光致量子点(LIQD),远小于退火前的量子点大小.LIQD的密度约为6×1010cm-2.分析表明,在ArF准分子激光脉冲作用下,退火样品只有表面扩散,并没有体扩散.激光脉冲对表面Ge原子的扩散控制导致了Ge量子点形貌发生了巨大的改变.该方法为获得高密度小尺寸的Ge量子点提供了新的途径.采用原子力显微镜对光致量子点的表面形貌进行了研究.  相似文献   
10.
砷注入碲镉汞的激光退火   总被引:1,自引:0,他引:1  
利用脉冲YAG激光器(脉宽为10ns,波长为1.06μm)对砷注入长波碲镉汞样品进行激光退火实验,分析注入退火引起的样品电学性质的变化,认为激光退火能够消除辐射损伤,并激活注入杂质.同时对电导率-迁移率谱这一实验方法也做了较详细的说明.  相似文献   
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号