首页 | 本学科首页   官方微博 | 高级检索  
     

基于SATA2.0的高速存储系统设计实现
引用本文:解冬,刘敏. 基于SATA2.0的高速存储系统设计实现[J]. 电子产品世界, 2016, 0(7): 39-42. DOI: 10.3969/j.issn.1005-5517.2016.6.012
作者姓名:解冬  刘敏
作者单位:西安电子科技大学 电子信息攻防对抗与仿真技术实验室 陕西 西安 710071
摘    要:本文介绍了一种高速数据存储系统,该系统采用SATA2.0协议,存储介质选择的是Intel公司新型固态硬盘,控制器选择的是Xilinx公司的Viretx-5系列FPGA,以及所提供的软件开发平台ISE和EDK联合开发工具,最后使用ChipScope对系统进行测试。多次测试结果显示,本系统能稳定有效地完成高速数据传输,且存储速度达到800MB/s。

关 键 词:FPGA  SATA2.0  固态硬盘  Chip Scope  Xilinx

Design and implementation of high-speed storage system based on SATA2.0
Abstract:
Keywords:
本文献已被 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号