首页 | 本学科首页   官方微博 | 高级检索  
     

基于FPGA的步进电机控制器设计
引用本文:金元郁,李勇,李园园.基于FPGA的步进电机控制器设计[J].单片机与嵌入式系统应用,2007(3):70-71.
作者姓名:金元郁  李勇  李园园
作者单位:青岛科技大学
摘    要:步进电机是一种将电脉冲信号转换成相应的角位移的特殊电机,每改变一次通电状态,步进电机的转子就转动一步.目前大多数步进电机控制器需要主控制器发送时钟信号,并且要至少一个I/O口来辅助控制和监控步进电机的运行情况.在单片机或DSP的应用系统中,经常配合CPLD或者FPGA来实现特定的功能.本文介绍通过FPGA实现的步进电机控制器.该控制器可以作为单片机或DSP的一个直接数字控制的外设,只需向控制器的控制寄存器和分频寄存器写入数据,即可实现对步进电机的控制.

关 键 词:步进电机控制器  FPGA实现  控制器设计  特殊电机  信号转换  时钟信号  主控制器  运行情况
修稿时间:2006-11-10

Design of Step-Motor Controller Based on FPGA
Jin Yuanyu,Li Yong,Li Yuanyuan.Design of Step-Motor Controller Based on FPGA[J].Microcontrollers & Embedded Systems,2007(3):70-71.
Authors:Jin Yuanyu  Li Yong  Li Yuanyuan
Abstract:
Keywords:
本文献已被 CNKI 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号