首页 | 本学科首页   官方微博 | 高级检索  
     

周期精确的指令集模拟器(ISS)的建模与封装方法
引用本文:沈斌,张多利,何亚军. 周期精确的指令集模拟器(ISS)的建模与封装方法[J]. 中国集成电路, 2007, 16(11): 60-64
作者姓名:沈斌  张多利  何亚军
作者单位:合肥工业大学
摘    要:本文首先介绍了指令集模拟器(ISS)的原理与应用,提出了在ISS的建模过程中所要处理的主要问题。然后以ARM7为例讨论了使用C 语言建立周期精确的指令集模拟器的方法。并使用了SystemC封装的方式来解决ISS同系统中其它模块的信息传递和时钟同步问题。将封装后的ISS同存储器一起挂接在AHB总线上,建立了简单的仿真平台。

关 键 词:指令集模拟器(ISS)  周期精确  封装

The method of constructing and wrapping cycle accurate ISS
Shen Bin,Zhang Duoli,He Yajun. The method of constructing and wrapping cycle accurate ISS[J]. China Integrated Circuit, 2007, 16(11): 60-64
Authors:Shen Bin  Zhang Duoli  He Yajun
Affiliation:Hefei University of Technology, Hefei, 230009
Abstract:At the beginning,Principle and application of the ISS are introduced,primary problems in the process of building ISS are proposed. And then taking ARM7 as an example,the method of building cycle accurate ISS using C language are discussed. ISS communicates with the other part of the system and synchronizes with clock by a SystemC wrapper. The wrapped ISS and a piece of ram are connected to the AHB bus. Finally a simple testbench is constructed.
Keywords:ARM  SystemC
本文献已被 CNKI 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号