首页 | 本学科首页   官方微博 | 高级检索  
     

一种基于FPGA的Viterbi译码器
引用本文:牛晨曦,张辉. 一种基于FPGA的Viterbi译码器[J]. 现代电子技术, 2005, 28(3): 56-57
作者姓名:牛晨曦  张辉
作者单位:西安电子科技大学,陕西,西安,710071
摘    要:介绍了一种(2,1,6)删余生成的(3,2,6)卷积码的Viterbi译码器的FPGA实现方法。该译码器基于软判决设计,约束长度为7。在具体实现中采用了全并行的处理方法,提高了译码速率。

关 键 词:数字通信 Viterbi译码器 FPGA CPLD
文章编号:1004-373X(2005)03-056-02
修稿时间:2004-10-15

A Viterbi Decoder Based on FPGA
NIU Chenxi,ZHANG Hui. A Viterbi Decoder Based on FPGA[J]. Modern Electronic Technique, 2005, 28(3): 56-57
Authors:NIU Chenxi  ZHANG Hui
Abstract:This paper introduced the realization of viterbi decoder.It based on the soft _decision design. The speed of decoding is enhanced by implementation in parallel processing mode.
Keywords:digital communication  Viterbi decoder  FPGA  CPLD  
本文献已被 CNKI 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号